Hardware implementation of memristor-based artificial neural networks
Artificial Intelligence (AI) is currently experiencing a bloom driven by deep learning (DL) techniques, which rely on networks of connected simple computing units operating in parallel. The low communication bandwidth between memory and processing units in conventional von Neumann machines does not...
Gespeichert in:
| Veröffentlicht in: | Nature communications Jg. 15; H. 1; S. 1974 - 40 |
|---|---|
| Hauptverfasser: | , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , |
| Format: | Journal Article |
| Sprache: | Englisch |
| Veröffentlicht: |
London
Nature Publishing Group UK
04.03.2024
Nature Publishing Group Nature Portfolio |
| Schlagworte: | |
| ISSN: | 2041-1723, 2041-1723 |
| Online-Zugang: | Volltext |
| Tags: |
Tag hinzufügen
Keine Tags, Fügen Sie den ersten Tag hinzu!
|
| Abstract | Artificial Intelligence (AI) is currently experiencing a bloom driven by deep learning (DL) techniques, which rely on networks of connected simple computing units operating in parallel. The low communication bandwidth between memory and processing units in conventional von Neumann machines does not support the requirements of emerging applications that rely extensively on large sets of data. More recent computing paradigms, such as high parallelization and near-memory computing, help alleviate the data communication bottleneck to some extent, but paradigm- shifting concepts are required. Memristors, a novel beyond-complementary metal-oxide-semiconductor (CMOS) technology, are a promising choice for memory devices due to their unique intrinsic device-level properties, enabling both storing and computing with a small, massively-parallel footprint at low power. Theoretically, this directly translates to a major boost in energy efficiency and computational throughput, but various practical challenges remain. In this work we review the latest efforts for achieving hardware-based memristive artificial neural networks (ANNs), describing with detail the working principia of each block and the different design alternatives with their own advantages and disadvantages, as well as the tools required for accurate estimation of performance metrics. Ultimately, we aim to provide a comprehensive protocol of the materials and methods involved in memristive neural networks to those aiming to start working in this field and the experts looking for a holistic approach.
Memristors hold promise for massively-parallel computing at low power. Aguirre et al. provide a comprehensive protocol of the materials and methods for designing memristive artificial neural networks with the detailed working principles of each building block and the tools for performance evaluation. |
|---|---|
| AbstractList | Artificial Intelligence (AI) is currently experiencing a bloom driven by deep learning (DL) techniques, which rely on networks of connected simple computing units operating in parallel. The low communication bandwidth between memory and processing units in conventional von Neumann machines does not support the requirements of emerging applications that rely extensively on large sets of data. More recent computing paradigms, such as high parallelization and near-memory computing, help alleviate the data communication bottleneck to some extent, but paradigm- shifting concepts are required. Memristors, a novel beyond-complementary metal-oxide-semiconductor (CMOS) technology, are a promising choice for memory devices due to their unique intrinsic device-level properties, enabling both storing and computing with a small, massively-parallel footprint at low power. Theoretically, this directly translates to a major boost in energy efficiency and computational throughput, but various practical challenges remain. In this work we review the latest efforts for achieving hardware-based memristive artificial neural networks (ANNs), describing with detail the working principia of each block and the different design alternatives with their own advantages and disadvantages, as well as the tools required for accurate estimation of performance metrics. Ultimately, we aim to provide a comprehensive protocol of the materials and methods involved in memristive neural networks to those aiming to start working in this field and the experts looking for a holistic approach. Artificial Intelligence (AI) is currently experiencing a bloom driven by deep learning (DL) techniques, which rely on networks of connected simple computing units operating in parallel. The low communication bandwidth between memory and processing units in conventional von Neumann machines does not support the requirements of emerging applications that rely extensively on large sets of data. More recent computing paradigms, such as high parallelization and near-memory computing, help alleviate the data communication bottleneck to some extent, but paradigm- shifting concepts are required. Memristors, a novel beyond-complementary metal-oxide-semiconductor (CMOS) technology, are a promising choice for memory devices due to their unique intrinsic device-level properties, enabling both storing and computing with a small, massively-parallel footprint at low power. Theoretically, this directly translates to a major boost in energy efficiency and computational throughput, but various practical challenges remain. In this work we review the latest efforts for achieving hardware-based memristive artificial neural networks (ANNs), describing with detail the working principia of each block and the different design alternatives with their own advantages and disadvantages, as well as the tools required for accurate estimation of performance metrics. Ultimately, we aim to provide a comprehensive protocol of the materials and methods involved in memristive neural networks to those aiming to start working in this field and the experts looking for a holistic approach. Memristors hold promise for massively-parallel computing at low power. Aguirre et al. provide a comprehensive protocol of the materials and methods for designing memristive artificial neural networks with the detailed working principles of each building block and the tools for performance evaluation. Artificial Intelligence (AI) is currently experiencing a bloom driven by deep learning (DL) techniques, which rely on networks of connected simple computing units operating in parallel. The low communication bandwidth between memory and processing units in conventional von Neumann machines does not support the requirements of emerging applications that rely extensively on large sets of data. More recent computing paradigms, such as high parallelization and near-memory computing, help alleviate the data communication bottleneck to some extent, but paradigm- shifting concepts are required. Memristors, a novel beyond-complementary metal-oxide-semiconductor (CMOS) technology, are a promising choice for memory devices due to their unique intrinsic device-level properties, enabling both storing and computing with a small, massively-parallel footprint at low power. Theoretically, this directly translates to a major boost in energy efficiency and computational throughput, but various practical challenges remain. In this work we review the latest efforts for achieving hardware-based memristive artificial neural networks (ANNs), describing with detail the working principia of each block and the different design alternatives with their own advantages and disadvantages, as well as the tools required for accurate estimation of performance metrics. Ultimately, we aim to provide a comprehensive protocol of the materials and methods involved in memristive neural networks to those aiming to start working in this field and the experts looking for a holistic approach. Memristors hold promise for massively-parallel computing at low power. Aguirre et al. provide a comprehensive protocol of the materials and methods for designing memristive artificial neural networks with the detailed working principles of each building block and the tools for performance evaluation. Abstract Artificial Intelligence (AI) is currently experiencing a bloom driven by deep learning (DL) techniques, which rely on networks of connected simple computing units operating in parallel. The low communication bandwidth between memory and processing units in conventional von Neumann machines does not support the requirements of emerging applications that rely extensively on large sets of data. More recent computing paradigms, such as high parallelization and near-memory computing, help alleviate the data communication bottleneck to some extent, but paradigm- shifting concepts are required. Memristors, a novel beyond-complementary metal-oxide-semiconductor (CMOS) technology, are a promising choice for memory devices due to their unique intrinsic device-level properties, enabling both storing and computing with a small, massively-parallel footprint at low power. Theoretically, this directly translates to a major boost in energy efficiency and computational throughput, but various practical challenges remain. In this work we review the latest efforts for achieving hardware-based memristive artificial neural networks (ANNs), describing with detail the working principia of each block and the different design alternatives with their own advantages and disadvantages, as well as the tools required for accurate estimation of performance metrics. Ultimately, we aim to provide a comprehensive protocol of the materials and methods involved in memristive neural networks to those aiming to start working in this field and the experts looking for a holistic approach. Artificial Intelligence (AI) is currently experiencing a bloom driven by deep learning (DL) techniques, which rely on networks of connected simple computing units operating in parallel. The low communication bandwidth between memory and processing units in conventional von Neumann machines does not support the requirements of emerging applications that rely extensively on large sets of data. More recent computing paradigms, such as high parallelization and near-memory computing, help alleviate the data communication bottleneck to some extent, but paradigm- shifting concepts are required. Memristors, a novel beyond-complementary metal-oxide-semiconductor (CMOS) technology, are a promising choice for memory devices due to their unique intrinsic device-level properties, enabling both storing and computing with a small, massively-parallel footprint at low power. Theoretically, this directly translates to a major boost in energy efficiency and computational throughput, but various practical challenges remain. In this work we review the latest efforts for achieving hardware-based memristive artificial neural networks (ANNs), describing with detail the working principia of each block and the different design alternatives with their own advantages and disadvantages, as well as the tools required for accurate estimation of performance metrics. Ultimately, we aim to provide a comprehensive protocol of the materials and methods involved in memristive neural networks to those aiming to start working in this field and the experts looking for a holistic approach.Artificial Intelligence (AI) is currently experiencing a bloom driven by deep learning (DL) techniques, which rely on networks of connected simple computing units operating in parallel. The low communication bandwidth between memory and processing units in conventional von Neumann machines does not support the requirements of emerging applications that rely extensively on large sets of data. More recent computing paradigms, such as high parallelization and near-memory computing, help alleviate the data communication bottleneck to some extent, but paradigm- shifting concepts are required. Memristors, a novel beyond-complementary metal-oxide-semiconductor (CMOS) technology, are a promising choice for memory devices due to their unique intrinsic device-level properties, enabling both storing and computing with a small, massively-parallel footprint at low power. Theoretically, this directly translates to a major boost in energy efficiency and computational throughput, but various practical challenges remain. In this work we review the latest efforts for achieving hardware-based memristive artificial neural networks (ANNs), describing with detail the working principia of each block and the different design alternatives with their own advantages and disadvantages, as well as the tools required for accurate estimation of performance metrics. Ultimately, we aim to provide a comprehensive protocol of the materials and methods involved in memristive neural networks to those aiming to start working in this field and the experts looking for a holistic approach. |
| ArticleNumber | 1974 |
| Author | Lu, Wei Miranda, Enrique Le Gallo, Manuel Hsu, Hung-Hsi Aguirre, Fernando Yan, Xiaobing Krestinskaya, Olga Eltawil, Ahmed Sebastian, Abu Salama, Khaled N. Mehonic, Adnan Villena, Marco A. Yang, Yuchao Wu, Yuting Smagulova, Kamilya Raghavan, Nagarajan Setti, Gianluca Alharbi, Osamah Kenyon, Anthony Lanza, Mario Wang, Tong Roldán, Juan B. Suñé, Jordi Li, Sifan Ielmini, Daniele Song, Wenhao Ang, Kah-Wee Chang, Meng-Fan Jain, Samarth Pazos, Sebastian Yang, J. Joshua |
| Author_xml | – sequence: 1 givenname: Fernando surname: Aguirre fullname: Aguirre, Fernando organization: Physical Science and Engineering Division, King Abdullah University of Science and Technology (KAUST), Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona (UAB) – sequence: 2 givenname: Abu orcidid: 0000-0001-5603-5243 surname: Sebastian fullname: Sebastian, Abu organization: IBM Research – Zurich – sequence: 3 givenname: Manuel orcidid: 0000-0003-1600-6151 surname: Le Gallo fullname: Le Gallo, Manuel organization: IBM Research – Zurich – sequence: 4 givenname: Wenhao surname: Song fullname: Song, Wenhao organization: Department of Electrical and Computer Engineering, University of Southern California (USC) – sequence: 5 givenname: Tong surname: Wang fullname: Wang, Tong organization: Department of Electrical and Computer Engineering, University of Southern California (USC) – sequence: 6 givenname: J. Joshua orcidid: 0000-0001-8242-7531 surname: Yang fullname: Yang, J. Joshua organization: Department of Electrical and Computer Engineering, University of Southern California (USC) – sequence: 7 givenname: Wei surname: Lu fullname: Lu, Wei organization: Department of Electrical Engineering and Computer Science, University of Michigan – sequence: 8 givenname: Meng-Fan orcidid: 0000-0001-6905-6350 surname: Chang fullname: Chang, Meng-Fan organization: Department of Electrical Engineering, National Tsing Hua University – sequence: 9 givenname: Daniele orcidid: 0000-0002-1853-1614 surname: Ielmini fullname: Ielmini, Daniele organization: Dipartimento di Elettronica, Informazione e Bioingegneria, Politecnico di Milano and IUNET – sequence: 10 givenname: Yuchao orcidid: 0000-0003-4674-4059 surname: Yang fullname: Yang, Yuchao organization: School of Electronic and Computer Engineering, Peking University – sequence: 11 givenname: Adnan orcidid: 0000-0002-2476-5038 surname: Mehonic fullname: Mehonic, Adnan organization: Department of Electronic and Electrical Engineering, University College London (UCL), Torrington Place – sequence: 12 givenname: Anthony orcidid: 0000-0003-2249-2184 surname: Kenyon fullname: Kenyon, Anthony organization: Department of Electronic and Electrical Engineering, University College London (UCL), Torrington Place – sequence: 13 givenname: Marco A. orcidid: 0000-0001-5547-3380 surname: Villena fullname: Villena, Marco A. organization: Physical Science and Engineering Division, King Abdullah University of Science and Technology (KAUST) – sequence: 14 givenname: Juan B. orcidid: 0000-0003-1662-6457 surname: Roldán fullname: Roldán, Juan B. organization: Departamento de Electrónica y Tecnología de Computadores, Facultad de Ciencias, Universidad de Granada, Avenida Fuentenueva s/n – sequence: 15 givenname: Yuting surname: Wu fullname: Wu, Yuting organization: Department of Electrical Engineering and Computer Science, University of Michigan – sequence: 16 givenname: Hung-Hsi surname: Hsu fullname: Hsu, Hung-Hsi organization: Department of Electrical Engineering, National Tsing Hua University – sequence: 17 givenname: Nagarajan surname: Raghavan fullname: Raghavan, Nagarajan organization: Engineering Product Development (EPD) Pillar, Singapore University of Technology & Design – sequence: 18 givenname: Jordi orcidid: 0000-0003-0108-4907 surname: Suñé fullname: Suñé, Jordi organization: Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona (UAB) – sequence: 19 givenname: Enrique surname: Miranda fullname: Miranda, Enrique organization: Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona (UAB) – sequence: 20 givenname: Ahmed orcidid: 0000-0003-1849-083X surname: Eltawil fullname: Eltawil, Ahmed organization: Computer, Electrical and Mathematical Sciences and Engineering Division, King Abdullah University of Science and Technology (KAUST) – sequence: 21 givenname: Gianluca surname: Setti fullname: Setti, Gianluca organization: Computer, Electrical and Mathematical Sciences and Engineering Division, King Abdullah University of Science and Technology (KAUST) – sequence: 22 givenname: Kamilya surname: Smagulova fullname: Smagulova, Kamilya organization: Computer, Electrical and Mathematical Sciences and Engineering Division, King Abdullah University of Science and Technology (KAUST) – sequence: 23 givenname: Khaled N. orcidid: 0000-0001-7742-1282 surname: Salama fullname: Salama, Khaled N. organization: Computer, Electrical and Mathematical Sciences and Engineering Division, King Abdullah University of Science and Technology (KAUST) – sequence: 24 givenname: Olga orcidid: 0000-0001-8038-4558 surname: Krestinskaya fullname: Krestinskaya, Olga organization: Computer, Electrical and Mathematical Sciences and Engineering Division, King Abdullah University of Science and Technology (KAUST) – sequence: 25 givenname: Xiaobing orcidid: 0000-0002-6335-336X surname: Yan fullname: Yan, Xiaobing organization: Key Laboratory of Brain-Like Neuromorphic Devices and Systems of Hebei Province, Hebei University – sequence: 26 givenname: Kah-Wee surname: Ang fullname: Ang, Kah-Wee organization: Department of Electrical and Computer Engineering, College of Design and Engineering, National University of Singapore (NUS) – sequence: 27 givenname: Samarth surname: Jain fullname: Jain, Samarth organization: Department of Electrical and Computer Engineering, College of Design and Engineering, National University of Singapore (NUS) – sequence: 28 givenname: Sifan surname: Li fullname: Li, Sifan organization: Department of Electrical and Computer Engineering, College of Design and Engineering, National University of Singapore (NUS) – sequence: 29 givenname: Osamah orcidid: 0000-0003-1660-0310 surname: Alharbi fullname: Alharbi, Osamah organization: Physical Science and Engineering Division, King Abdullah University of Science and Technology (KAUST) – sequence: 30 givenname: Sebastian orcidid: 0000-0002-7354-4530 surname: Pazos fullname: Pazos, Sebastian organization: Physical Science and Engineering Division, King Abdullah University of Science and Technology (KAUST) – sequence: 31 givenname: Mario orcidid: 0000-0003-4756-8632 surname: Lanza fullname: Lanza, Mario email: mario.lanza@kaust.edu.sa organization: Physical Science and Engineering Division, King Abdullah University of Science and Technology (KAUST) |
| BackLink | https://www.ncbi.nlm.nih.gov/pubmed/38438350$$D View this record in MEDLINE/PubMed |
| BookMark | eNp9Uk1v1DAQtVARLaV_gAOKxIVLYPyROD4hVBVaqRIXOFv-XLwk9mInVPx7vJtS2h7qy1jj99688cxLdBRTdAi9xvAeAx0-FIZZz1sgrGVdz6EVz9AJAYZbzAk9unc_RmelbKEeKvDA2At0TAdGB9rBCbq4VNneqOyaMO1GN7k4qzmk2CTfTG7Kocwpt1oVZxuV5-CDCWpsolvyIcw3Kf8sr9Bzr8bizm7jKfr--eLb-WV7_fXL1fmn69Z0DM-tZZZqps3ARW-UYVSB8qzD1iqiiPGM14QwgD0MthddhzHuvDbCESW0B3qKrlZdm9RW7nKYVP4jkwrykEh5I_cmzegk4YzbWrYnTjPu6SB60ESAAWO1Fq5qfVy1douenDW189rSA9GHLzH8kJv0W2IQmBCKq8K7W4Wcfi2uzHIKxbhxVNGlpUgiKOcAgu2hbx9Bt2nJsf7VAYV53wOtqDf3Ld15-TeuCiArwORUSnb-DoJB7tdCrmsh61rIw1pIUUnDI5IJ65BrW2F8mkpXaql14sbl_7afYP0FfCfMfQ |
| CitedBy_id | crossref_primary_10_1016_j_sse_2025_109214 crossref_primary_10_1016_j_jechem_2025_06_015 crossref_primary_10_1016_j_nanoen_2025_110999 crossref_primary_10_1002_adma_202415743 crossref_primary_10_1088_1402_4896_ad62a1 crossref_primary_10_1016_j_nanoen_2025_110877 crossref_primary_10_1088_2631_8695_ad6662 crossref_primary_10_1126_sciadv_adu3309 crossref_primary_10_1038_s41467_024_51093_3 crossref_primary_10_1016_j_rineng_2024_102906 crossref_primary_10_1039_D4NR02975E crossref_primary_10_1126_sciadv_adt3068 crossref_primary_10_1016_j_apsusc_2025_164614 crossref_primary_10_1016_j_chaos_2024_115471 crossref_primary_10_1021_acssensors_5c01063 crossref_primary_10_1038_s41928_025_01432_z crossref_primary_10_1088_1674_1056_adb8bb crossref_primary_10_1021_acsaelm_5c01038 crossref_primary_10_1038_s41928_024_01192_2 crossref_primary_10_3389_fnano_2025_1549547 crossref_primary_10_1039_D4NR05012F crossref_primary_10_3390_app14135752 crossref_primary_10_1016_j_mbm_2025_100133 crossref_primary_10_1038_s41598_025_15251_x crossref_primary_10_1038_s41598_024_68359_x crossref_primary_10_1002_mabi_202500101 crossref_primary_10_1557_s43580_024_00939_5 crossref_primary_10_1016_j_chaos_2024_115191 crossref_primary_10_1002_smll_202403103 crossref_primary_10_1109_TSP_2025_3546458 crossref_primary_10_1039_D4MH00942H crossref_primary_10_1038_s41467_025_61980_y crossref_primary_10_1038_s41928_025_01390_6 crossref_primary_10_1007_s40820_025_01891_1 crossref_primary_10_1016_j_aeue_2024_155467 crossref_primary_10_1039_D5MH00086F crossref_primary_10_1038_s41598_025_88549_5 crossref_primary_10_1039_D5NH00040H crossref_primary_10_1016_j_apsusc_2025_164292 crossref_primary_10_1038_s41597_025_05065_x crossref_primary_10_1016_j_jallcom_2025_181365 crossref_primary_10_1038_s41467_025_60970_4 crossref_primary_10_1016_j_mssp_2025_109668 crossref_primary_10_1002_smll_202503689 crossref_primary_10_1088_2515_7647_ae0104 crossref_primary_10_1016_j_nanoen_2025_111261 crossref_primary_10_1002_aisy_202500223 crossref_primary_10_1002_advs_202413662 crossref_primary_10_1109_LED_2024_3400949 crossref_primary_10_1016_j_cej_2025_163914 crossref_primary_10_1109_JIOT_2025_3555444 crossref_primary_10_1016_j_neunet_2025_107929 crossref_primary_10_1038_s44287_025_00187_1 crossref_primary_10_1126_sciadv_adv6603 crossref_primary_10_1140_epjp_s13360_025_06499_3 crossref_primary_10_1109_ACCESS_2025_3568466 crossref_primary_10_1002_aisy_202500071 crossref_primary_10_1063_5_0281901 crossref_primary_10_1016_j_mee_2025_112363 crossref_primary_10_1088_1402_4896_ad8190 crossref_primary_10_1002_admi_202500455 crossref_primary_10_1109_JIOT_2024_3448350 crossref_primary_10_1016_j_neunet_2024_107049 crossref_primary_10_1109_LED_2025_3577161 crossref_primary_10_1038_s41586_025_08742_4 crossref_primary_10_1002_advs_202502291 crossref_primary_10_1016_j_neunet_2024_106874 crossref_primary_10_1038_s41467_025_60588_6 crossref_primary_10_4103_REGENMED_REGENMED_D_24_00012 crossref_primary_10_1371_journal_pone_0318075 crossref_primary_10_1109_ACCESS_2024_3462606 crossref_primary_10_3389_fnins_2025_1656892 crossref_primary_10_1016_j_apmt_2025_102771 crossref_primary_10_3390_electronics13234665 crossref_primary_10_1063_5_0260023 crossref_primary_10_1016_j_mtphys_2025_101760 crossref_primary_10_1038_s41467_025_56345_4 crossref_primary_10_1016_j_apsusc_2025_162295 crossref_primary_10_1016_j_aeue_2025_155746 crossref_primary_10_1002_inf2_12644 crossref_primary_10_1093_nsr_nwaf224 crossref_primary_10_1109_TED_2025_3576811 crossref_primary_10_1038_s41578_024_00661_6 crossref_primary_10_1002_advs_202417735 crossref_primary_10_1039_D5SM00402K crossref_primary_10_1016_j_heliyon_2024_e35889 crossref_primary_10_1016_j_neucom_2025_130549 crossref_primary_10_1109_TCSI_2025_3566364 crossref_primary_10_1016_j_neunet_2025_107385 crossref_primary_10_1109_LED_2025_3558375 crossref_primary_10_1002_advs_202504578 crossref_primary_10_1109_TRPMS_2025_3530774 crossref_primary_10_3390_chips4030038 crossref_primary_10_1063_5_0268554 crossref_primary_10_1109_TCASAI_2025_3532406 crossref_primary_10_1002_adfm_202415457 crossref_primary_10_1063_5_0253063 crossref_primary_10_1016_j_cnsns_2024_108564 crossref_primary_10_1016_j_mee_2024_112306 crossref_primary_10_1039_D4MH90052A crossref_primary_10_1038_s44172_025_00360_2 crossref_primary_10_1126_sciadv_adv3436 crossref_primary_10_1007_s11071_025_11468_7 crossref_primary_10_1088_1674_4926_24120017 crossref_primary_10_1002_apxr_202400047 crossref_primary_10_1016_j_optlastec_2025_113491 crossref_primary_10_1021_acsphotonics_4c02322 crossref_primary_10_1002_adfm_202507954 crossref_primary_10_1016_j_jmmm_2025_173280 crossref_primary_10_1007_s11227_025_07505_2 crossref_primary_10_1557_s43580_025_01198_8 crossref_primary_10_3390_electronics14061125 crossref_primary_10_1002_aisy_202500351 crossref_primary_10_1016_j_device_2024_100546 crossref_primary_10_1002_aelm_202500137 crossref_primary_10_1016_j_jallcom_2025_180469 crossref_primary_10_1038_s41377_025_01928_5 crossref_primary_10_1007_s10825_025_02377_4 crossref_primary_10_1002_advs_202505678 crossref_primary_10_1016_j_mser_2024_100825 crossref_primary_10_1039_D5NR02524A crossref_primary_10_1109_TNANO_2024_3415382 crossref_primary_10_1016_j_bspc_2024_107478 crossref_primary_10_1088_1361_6463_adfdf5 crossref_primary_10_1016_j_nanoen_2025_111011 crossref_primary_10_1109_TED_2024_3450437 crossref_primary_10_1134_S2635167624601657 crossref_primary_10_3390_fractalfract9010039 crossref_primary_10_1038_s44287_025_00207_0 crossref_primary_10_1007_s11571_025_10265_7 crossref_primary_10_1088_2631_8695_adfbbb crossref_primary_10_1002_smll_202412761 crossref_primary_10_1002_aisy_202400736 crossref_primary_10_1016_j_mtchem_2025_102841 crossref_primary_10_1021_acs_chemrev_4c00813 crossref_primary_10_1038_s41586_025_08733_5 crossref_primary_10_3390_nano15161267 crossref_primary_10_1002_aelm_202500029 crossref_primary_10_1016_j_sse_2025_109076 crossref_primary_10_1002_adfm_202418113 crossref_primary_10_1021_acsami_5c06829 crossref_primary_10_1016_j_chip_2025_100165 crossref_primary_10_1016_j_xcrp_2025_102735 crossref_primary_10_1002_smll_202505708 crossref_primary_10_1038_s41467_025_59872_2 crossref_primary_10_1002_advs_202504706 crossref_primary_10_1134_S263516762460250X crossref_primary_10_37188_lam_2025_053 crossref_primary_10_1002_adma_202414430 crossref_primary_10_1038_s41467_025_56412_w crossref_primary_10_1039_D5TC01522G crossref_primary_10_1063_5_0275670 crossref_primary_10_1039_D4NR01237B crossref_primary_10_1016_j_bspc_2025_108563 crossref_primary_10_3390_mi16080882 crossref_primary_10_1002_smll_202405749 crossref_primary_10_3389_fnano_2025_1583483 crossref_primary_10_1140_epjs_s11734_024_01342_9 crossref_primary_10_1109_TED_2025_3538660 crossref_primary_10_1002_adma_202412549 crossref_primary_10_1016_j_sse_2025_109129 crossref_primary_10_1016_j_mser_2025_100983 crossref_primary_10_3390_biomimetics10090584 crossref_primary_10_1002_aelm_202400625 crossref_primary_10_1063_5_0251113 crossref_primary_10_1007_s40820_025_01825_x crossref_primary_10_1002_adfm_202423360 crossref_primary_10_1002_advs_202401915 crossref_primary_10_1002_smll_202505032 |
| Cites_doi | 10.1038/s41467-021-25455-0 10.1109/JETCAS.2017.2776980 10.1109/ISLPED.2019.8824944 10.1109/JSSC.2013.2279571 10.3389/fninf.2018.00089 10.1109/49.761034 10.1002/adfm.201101117 10.1049/el:19930827 10.1007/978-1-4615-4515-6 10.1021/acsami.9b17160 10.1109/IMW48823.2020.9108112 10.1145/2422094.2422103 10.1109/TNNLS.2017.2778940 10.1145/3079856.3080246 10.1016/j.sse.2021.107961 10.1142/S0218127412500708 10.1109/ISSCC.2019.8662395 10.1109/IEDM19573.2019.8993641 10.1145/3297858.3304049 10.1038/s41565-020-0655-z 10.1002/adma.200900375 10.1109/IEDM45625.2022.10019450 10.1109/TC.2020.2998456 10.1038/s41586-020-1942-4 10.1109/ISQED.2018.8357318 10.1109/TED.2021.3095430 10.1109/SIPS52927.2021.00053 10.1109/JSSC.2017.2778702 10.1109/CVPRW.2011.5981829 10.1109/JSSC.2022.3163197 10.1063/1.5108650 10.1145/3061639.3062310 10.1038/s41467-023-40770-4 10.1145/3240765.3240800 10.1109/ISCAS45731.2020.9180810 10.1038/nature14441 10.1145/1815961.1815993 10.1038/s41467-018-07565-4 10.1147/JRD.2019.2947011 10.1109/ACCESS.2019.2903876 10.1016/j.mser.2014.06.002 10.1063/1.5118217 10.1162/neco.1992.4.2.141 10.1109/IEDM.2015.7409718 10.1038/s41586-021-04362-w 10.1049/el:19950729 10.1109/LED.2012.2210856 10.1126/science.1254642 10.3390/MI11040427 10.1109/TED.2019.2963323 10.1109/ISSCC42614.2022.9731773 10.1007/978-3-642-35289-8_25/COVER 10.3390/electronics10060645 10.1109/JSSC.2015.2487270 10.1109/THS.2018.8574173 10.1109/81.662705 10.1038/s41467-020-20314-w 10.23919/VLSIC.2017.8008534 10.1109/JPROC.2021.3067593 10.1145/3386263.3407647 10.1038/s41467-018-07572-5 10.1109/TNNLS.2013.2296777 10.1109/IEDM19573.2019.8993466 10.1145/2897937.2898010 10.1002/adfm.201909645 10.1038/s41598-017-17785-1 10.1017/CBO9780511541612 10.1109/MM.2018.053631140 10.1109/CVPR.2009.5206848 10.1145/3485824 10.3390/electronics10030346 10.3389/fnins.2019.00593 10.1109/HPCA.2017.55 10.1109/TED.2015.2439635 10.1109/MICRO.2014.58 10.1109/VLSITechnologyandCir46769.2022.9830490 10.1088/2634-4386/ac0775 10.1038/s41586-022-04992-8 10.1109/TED.2019.2914460 10.1109/JSSC.2018.2880918 10.1109/VLSIT.2012.6242510 10.1109/TED.2020.3015178 10.1109/MSSC.2019.2922889 10.1109/TCAD.2012.2185930 10.48550/arxiv.1412.6980 10.1038/s41586-019-1424-8 10.1016/j.physleta.2015.12.024 10.1038/nature06932 10.1109/ISSCC19947.2020.9063078 10.1109/HPEC43674.2020.9286246 10.1109/TED.2021.3115993 10.1016/S0893-6080(05)80056-5 10.1109/ISSCC.2019.8662402 10.1021/acsami.9b18794 10.1109/VLSIT.2018.8510676 10.1109/IEDM19574.2021.9720543 10.1002/aisy.202100017 10.1109/TPDS.2020.3046870 10.1126/sciadv.abj4801 10.1109/23.682634 10.1109/icnn.1993.298623 10.1038/s41928-018-0054-8 10.1109/IEDM45625.2022.10019486 10.1038/s41928-019-0270-x 10.1038/s41928-020-0397-9 10.1080/002072198134896 10.5281/ZENODO.6368024 10.1109/HPEC55821.2022.9926331 10.1038/s41467-020-16108-9 10.3390/jlpea11010009 10.1109/ISCA.2016.13 10.1109/JSSC.2018.2865489 10.1039/C5CP07675G 10.1145/2623330.2623612 10.1109/IEDM.2016.7838429 10.1088/1361-6528/ab3480 10.1007/BF01593790 10.1002/aisy.202000075 10.48550/arxiv.2104.02184 10.1109/72.80331 10.1007/978-1-4614-0812-3_4/TABLES/4 10.1038/323533a0 10.48550/arxiv.2106.15561 10.1109/MWSCAS.1993.342935 10.1039/C7NR03106H 10.1007/978-3-319-39624-8 10.1002/aisy.202000149 10.1109/ISCA.2016.12 10.3389/fnins.2020.00406 10.1007/s10470-017-1029-9 10.1063/1.5037835 10.1007/978-3-030-90582-8 10.1109/WISP.2015.7139171 10.3390/electronics10192427 10.1109/ICSICT55466.2022.9963263 10.1109/ISCAS.2011.5937569 10.1109/TNANO.2019.2927493 10.1109/ASPDAC.2016.7428024 10.1021/acsnano.0c09441 10.1007/978-1-4615-2680-3_5 10.1109/JETCAS.2019.2933148 10.21203/RS.3.RS-1939455/V1 10.1109/CODESS.2003.1275250 10.1088/1361-6528/ab2084 10.1002/adfm.201600680 10.1109/4.229397 10.1007/978-3-662-47020-6 10.1109/TED.2013.2246791 10.1109/TCAD.2018.2789723 10.1023/A:1022602019183 10.1063/1.5143815 10.1109/MCAS.2022.3214409 10.1093/comjnl/7.2.149 10.1109/ACCESS.2020.3035638 10.3390/s22103862 10.1109/ETS.2013.6569370 10.1002/9781119507369 10.1038/s41928-018-0023-2 10.1109/72.572093 10.1109/ISSCC42614.2022.9731715 10.1049/el:19930606 10.1145/2684746.2689060 10.1145/3061639.3062326 10.1109/ICECS46596.2019.8964856 10.1109/JPROC.2020.3003007 10.1109/ISVLSI.2012.82 10.1109/TC.2020.2991575 10.3389/fnins.2019.01383 10.1109/JSSC.2019.2899730 10.1137/0111030 10.1145/3323439.3323989 10.1109/TCSI.2011.2107214 10.1002/adma.201705914 10.1109/JPROC.2015.2433311 10.3390/mi10050306 10.3389/fnins.2020.00240 10.1038/s41467-017-02088-w 10.1023/A:1008204616413 10.23919/DATE.2018.8342235 10.23919/VLSICircuits52068.2021.9492362 10.1002/AISY.202000115 10.1021/acsami.7b11191 10.1109/TVLSI.2018.2882194 10.1515/NANOPH-2022-0137/ASSET/GRAPHIC/J_NANOPH-2022-0137_FIG_007.JPG 10.1109/mwscas.1996.594211 10.1145/3061639.3062207 10.1038/s41928-017-0002-z 10.1039/C6NR00476H 10.1038/s41467-018-07757-y 10.1002/adfm.201702010 10.1137/1.9781611971200 10.3389/fnins.2016.00333 10.1109/IEDM.2017.8268468 10.1109/ISCAS45731.2020.9180514/VIDEO 10.23919/DATE54114.2022.9774605 10.48550/arxiv.1212.5701 10.1016/j.neucom.2017.08.014 10.7554/ELIFE.47314 10.1126/science.220.4598.671 10.1038/ncomms3072 10.1109/TNANO.2013.2250995 10.1109/LED.2016.2582859 10.1007/s11432-020-3245-7 10.1109/CVPR.2016.90 10.1109/ICNN.1995.488968 10.26599/TST.2019.9010070 10.1109/IJCNN52387.2021.9533738 10.1038/s41586-018-0180-5 10.1109/JSSC.2022.3140414 10.1016/j.neunet.2018.08.012 10.1109/TVLSI.2011.2136443 10.1109/MM.2020.2975764 10.1109/FPL.2009.5272559 10.1109/LCA.2015.2402435 10.1145/3394885.3431635 10.1023/A:1011208127849 10.1109/TED.2011.2147791 10.1109/ASP-DAC47756.2020.9045658 10.21236/ADA451466 10.1007/s00521-018-3354-z 10.1109/JSSC.2016.2616357 10.1109/16.543035 10.1038/s41928-019-0288-0 10.3389/fphy.2021.735021 10.1109/IEDM.2018.8614639 10.1109/ISSCC19947.2020.9062953 10.1145/3476994 10.1038/srep10123 10.1002/aisy.202000137 10.1109/TED.2018.2882779 10.1038/nature22994 10.1109/LASCAS51355.2021.9667132 10.1109/TCSI.2018.2866510 10.1145/977091.977115 10.1109/TVLSI.2022.3221390 10.1063/1.5115531 10.23919/VLSIT.2017.7998149 10.1109/TVLSI.2020.3020286 10.1038/s41565-021-00874-8 10.1109/HPCA.2016.7446049 10.1038/s41699-021-00284-3 10.1145/3173162.3173171 10.1038/ncomms15199 10.1109/TED.2021.3095433 10.1109/TIE.2014.2356439 |
| ContentType | Journal Article |
| Copyright | The Author(s) 2024 2024. The Author(s). The Author(s) 2024. This work is published under http://creativecommons.org/licenses/by/4.0/ (the “License”). Notwithstanding the ProQuest Terms and Conditions, you may use this content in accordance with the terms of the License. |
| Copyright_xml | – notice: The Author(s) 2024 – notice: 2024. The Author(s). – notice: The Author(s) 2024. This work is published under http://creativecommons.org/licenses/by/4.0/ (the “License”). Notwithstanding the ProQuest Terms and Conditions, you may use this content in accordance with the terms of the License. |
| DBID | C6C AAYXX CITATION NPM 3V. 7QL 7QP 7QR 7SN 7SS 7ST 7T5 7T7 7TM 7TO 7X7 7XB 88E 8AO 8FD 8FE 8FG 8FH 8FI 8FJ 8FK ABUWG AEUYN AFKRA ARAPS AZQEC BBNVY BENPR BGLVJ BHPHI C1K CCPQU DWQXO FR3 FYUFA GHDGH GNUQQ H94 HCIFZ K9. LK8 M0S M1P M7P P5Z P62 P64 PHGZM PHGZT PIMPY PJZUB PKEHL PPXIY PQEST PQGLB PQQKQ PQUKI RC3 SOI 7X8 5PM DOA |
| DOI | 10.1038/s41467-024-45670-9 |
| DatabaseName | Springer Nature OA Free Journals CrossRef PubMed ProQuest Central (Corporate) Bacteriology Abstracts (Microbiology B) Calcium & Calcified Tissue Abstracts Chemoreception Abstracts Ecology Abstracts Entomology Abstracts (Full archive) Environment Abstracts Immunology Abstracts Industrial and Applied Microbiology Abstracts (Microbiology A) Nucleic Acids Abstracts Oncogenes and Growth Factors Abstracts Health & Medical Collection ProQuest Central (purchase pre-March 2016) Medical Database (Alumni Edition) ProQuest Pharma Collection Technology Research Database ProQuest SciTech Collection ProQuest Technology Collection ProQuest Natural Science Collection Hospital Premium Collection Hospital Premium Collection (Alumni Edition) ProQuest Central (Alumni) (purchase pre-March 2016) ProQuest Central (Alumni) ProQuest One Sustainability ProQuest Central UK/Ireland ProQuest Advanced Technologies & Aerospace Collection ProQuest Central Essentials Biological Science Database ProQuest Central Technology Collection ProQuest Natural Science Collection Environmental Sciences and Pollution Management ProQuest One Community College ProQuest Central Engineering Research Database Health Research Premium Collection Health Research Premium Collection (Alumni) ProQuest Central Student AIDS and Cancer Research Abstracts SciTech Premium Collection ProQuest Health & Medical Complete (Alumni) Biological Sciences Health & Medical Collection (Alumni Edition) PML(ProQuest Medical Library) ProQuest Biological Science Database (NC LIVE) ProQuest Advanced Technologies & Aerospace Database (NC LIVE) ProQuest Advanced Technologies & Aerospace Collection Biotechnology and BioEngineering Abstracts ProQuest Central Premium ProQuest One Academic Publicly Available Content Database ProQuest Health & Medical Research Collection ProQuest One Academic Middle East (New) ProQuest One Health & Nursing ProQuest One Academic Eastern Edition (DO NOT USE) ProQuest One Applied & Life Sciences ProQuest One Academic (retired) ProQuest One Academic UKI Edition Genetics Abstracts Environment Abstracts MEDLINE - Academic PubMed Central (Full Participant titles) DOAJ Directory of Open Access Journals |
| DatabaseTitle | CrossRef PubMed Publicly Available Content Database ProQuest Central Student Oncogenes and Growth Factors Abstracts ProQuest Advanced Technologies & Aerospace Collection ProQuest Central Essentials Nucleic Acids Abstracts SciTech Premium Collection Environmental Sciences and Pollution Management ProQuest One Applied & Life Sciences ProQuest One Sustainability Health Research Premium Collection Natural Science Collection Health & Medical Research Collection Biological Science Collection Chemoreception Abstracts Industrial and Applied Microbiology Abstracts (Microbiology A) ProQuest Central (New) ProQuest Medical Library (Alumni) Advanced Technologies & Aerospace Collection ProQuest Biological Science Collection ProQuest One Academic Eastern Edition ProQuest Hospital Collection ProQuest Technology Collection Health Research Premium Collection (Alumni) Biological Science Database Ecology Abstracts ProQuest Hospital Collection (Alumni) Biotechnology and BioEngineering Abstracts Entomology Abstracts ProQuest Health & Medical Complete ProQuest One Academic UKI Edition Engineering Research Database ProQuest One Academic Calcium & Calcified Tissue Abstracts ProQuest One Academic (New) Technology Collection Technology Research Database ProQuest One Academic Middle East (New) ProQuest Health & Medical Complete (Alumni) ProQuest Central (Alumni Edition) ProQuest One Community College ProQuest One Health & Nursing ProQuest Natural Science Collection ProQuest Pharma Collection ProQuest Central ProQuest Health & Medical Research Collection Genetics Abstracts Health and Medicine Complete (Alumni Edition) ProQuest Central Korea Bacteriology Abstracts (Microbiology B) AIDS and Cancer Research Abstracts ProQuest SciTech Collection Advanced Technologies & Aerospace Database ProQuest Medical Library Immunology Abstracts Environment Abstracts ProQuest Central (Alumni) MEDLINE - Academic |
| DatabaseTitleList | PubMed CrossRef Publicly Available Content Database MEDLINE - Academic |
| Database_xml | – sequence: 1 dbid: DOA name: DOAJ Directory of Open Access Journals url: https://www.doaj.org/ sourceTypes: Open Website – sequence: 2 dbid: NPM name: PubMed url: http://www.ncbi.nlm.nih.gov/entrez/query.fcgi?db=PubMed sourceTypes: Index Database – sequence: 3 dbid: PIMPY name: Publicly Available Content Database url: http://search.proquest.com/publiccontent sourceTypes: Aggregation Database |
| DeliveryMethod | fulltext_linktorsrc |
| Discipline | Biology |
| EISSN | 2041-1723 |
| EndPage | 40 |
| ExternalDocumentID | oai_doaj_org_article_2747dc5462eb47f38960b290c0cdbb9e PMC10912231 38438350 10_1038_s41467_024_45670_9 |
| Genre | Journal Article Review |
| GrantInformation_xml | – fundername: Universitat Autònoma de Barcelona (Autonomous University of Barcelona) funderid: https://doi.org/10.13039/501100011104 – fundername: King Abdullah University of Science and Technology (KAUST) funderid: https://doi.org/10.13039/501100004052 |
| GroupedDBID | --- 0R~ 39C 3V. 53G 5VS 70F 7X7 88E 8AO 8FE 8FG 8FH 8FI 8FJ AAHBH AAJSJ ABUWG ACGFO ACGFS ACIWK ACMJI ACPRK ACSMW ADBBV ADFRT ADMLS ADRAZ AENEX AEUYN AFKRA AFRAH AHMBA AJTQC ALIPV ALMA_UNASSIGNED_HOLDINGS AMTXH AOIJS ARAPS ASPBG AVWKF AZFZN BBNVY BCNDV BENPR BGLVJ BHPHI BPHCQ BVXVI C6C CCPQU DIK EBLON EBS EE. EMOBN F5P FEDTE FYUFA GROUPED_DOAJ HCIFZ HMCUK HVGLF HYE HZ~ KQ8 LGEZI LK8 LOTEE M1P M48 M7P M~E NADUK NAO NXXTH O9- OK1 P2P P62 PIMPY PQQKQ PROAC PSQYO RNS RNT RNTTT RPM SNYQT SV3 TSG UKHRP AASML AAYXX AFFHD CITATION PHGZM PHGZT PJZUB PPXIY PQGLB NPM 7QL 7QP 7QR 7SN 7SS 7ST 7T5 7T7 7TM 7TO 7XB 8FD 8FK AZQEC C1K DWQXO FR3 GNUQQ H94 K9. P64 PKEHL PQEST PQUKI RC3 SOI 7X8 5PM |
| ID | FETCH-LOGICAL-c541t-d4d3b4bc8796cac43a0af451dda2a2cf47a0a9c01f08d69551115fbc9e2a9bf03 |
| IEDL.DBID | P5Z |
| ISICitedReferencesCount | 228 |
| ISICitedReferencesURI | http://www.webofscience.com/api/gateway?GWVersion=2&SrcApp=Summon&SrcAuth=ProQuest&DestLinkType=CitingArticles&DestApp=WOS_CPL&KeyUT=001179853600015&url=https%3A%2F%2Fcvtisr.summon.serialssolutions.com%2F%23%21%2Fsearch%3Fho%3Df%26include.ft.matches%3Dt%26l%3Dnull%26q%3D |
| ISSN | 2041-1723 |
| IngestDate | Tue Oct 14 19:05:03 EDT 2025 Tue Nov 04 02:05:51 EST 2025 Sun Nov 09 13:33:20 EST 2025 Tue Oct 07 07:13:01 EDT 2025 Thu Apr 03 07:02:17 EDT 2025 Sat Nov 29 03:29:52 EST 2025 Tue Nov 18 22:26:27 EST 2025 Fri Feb 21 02:39:59 EST 2025 |
| IsDoiOpenAccess | true |
| IsOpenAccess | true |
| IsPeerReviewed | true |
| IsScholarly | true |
| Issue | 1 |
| Language | English |
| License | 2024. The Author(s). Open Access This article is licensed under a Creative Commons Attribution 4.0 International License, which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons licence, and indicate if changes were made. The images or other third party material in this article are included in the article’s Creative Commons licence, unless indicated otherwise in a credit line to the material. If material is not included in the article’s Creative Commons licence and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this licence, visit http://creativecommons.org/licenses/by/4.0/. |
| LinkModel | DirectLink |
| MergedId | FETCHMERGED-LOGICAL-c541t-d4d3b4bc8796cac43a0af451dda2a2cf47a0a9c01f08d69551115fbc9e2a9bf03 |
| Notes | ObjectType-Article-1 SourceType-Scholarly Journals-1 ObjectType-Feature-2 content type line 14 ObjectType-Review-3 content type line 23 |
| ORCID | 0000-0003-2249-2184 0000-0003-0108-4907 0000-0003-1600-6151 0000-0003-4756-8632 0000-0003-1660-0310 0000-0002-6335-336X 0000-0001-6905-6350 0000-0001-5603-5243 0000-0001-8242-7531 0000-0001-8038-4558 0000-0003-1662-6457 0000-0003-4674-4059 0000-0002-2476-5038 0000-0002-7354-4530 0000-0003-1849-083X 0000-0002-1853-1614 0000-0001-5547-3380 0000-0001-7742-1282 |
| OpenAccessLink | https://www.proquest.com/docview/2937176603?pq-origsite=%requestingapplication% |
| PMID | 38438350 |
| PQID | 2937176603 |
| PQPubID | 546298 |
| PageCount | 40 |
| ParticipantIDs | doaj_primary_oai_doaj_org_article_2747dc5462eb47f38960b290c0cdbb9e pubmedcentral_primary_oai_pubmedcentral_nih_gov_10912231 proquest_miscellaneous_2937700941 proquest_journals_2937176603 pubmed_primary_38438350 crossref_primary_10_1038_s41467_024_45670_9 crossref_citationtrail_10_1038_s41467_024_45670_9 springer_journals_10_1038_s41467_024_45670_9 |
| PublicationCentury | 2000 |
| PublicationDate | 2024-03-04 |
| PublicationDateYYYYMMDD | 2024-03-04 |
| PublicationDate_xml | – month: 03 year: 2024 text: 2024-03-04 day: 04 |
| PublicationDecade | 2020 |
| PublicationPlace | London |
| PublicationPlace_xml | – name: London – name: England |
| PublicationTitle | Nature communications |
| PublicationTitleAbbrev | Nat Commun |
| PublicationTitleAlternate | Nat Commun |
| PublicationYear | 2024 |
| Publisher | Nature Publishing Group UK Nature Publishing Group Nature Portfolio |
| Publisher_xml | – name: Nature Publishing Group UK – name: Nature Publishing Group – name: Nature Portfolio |
| References | Habana L., “GoyaTM Inference Platform White Paper,” 1–14, 2019. BavandpourMSahaySMahmoodiMRStrukovDB“3D-aCortex: an ultra-compact energy-efficient neurocomputing platform based on commercial 3D-NAND flash memories,”Neuromorph. Comput. Eng.2021101400110.1088/2634-4386/ac0775 Mochida, R. et al. “A 4M synapses integrated analog ReRAM based 66.5 TOPS/W neural-network processor with cell current controlled writing and flexible network architecture,” Digest of Technical Papers - Symposium on VLSI Technology, 175–176, Oct. 2018, 2018 ChakrabortyI“Resistive crossbars as approximate hardware building blocks for machine learning: opportunities and challenges,”Proc. IEEE20201082276231010.1109/JPROC.2020.3003007 “Gyrfalcon Unveils Fourth AI Accelerator Chip - EE Times.” Accessed: May 16, 2023. [Online]. Available: https://www.eetimes.com/gyrfalcon-unveils-fourth-ai-accelerator-chip Papandreou, N. et al., “Programming algorithms for multilevel phase-change memory,” Proceedings - IEEE International Symposium on Circuits and Systems, 329–332, https://doi.org/10.1109/ISCAS.2011.5937569.2011 AmirsoleimaniA“In-memory vector-matrix multiplication in monolithic complementary metal–oxide–semiconductor-memristor integrated circuits: design choices, challenges, and perspectives,”Adv. Intell. Syst.20202200011510.1002/AISY.202000115 Chua, L. O., Tetzlaff, R. and Slavova, A. Eds., Memristor Computing Systems. Springer International Publishing, https://doi.org/10.1007/978-3-030-90582-8.2022. Deng, J. et al., “ImageNet: A large-scale hierarchical image database,” in 2009 IEEE Conference on Computer Vision and Pattern Recognition, IEEE, 2009, 248–255. YanX“Robust Ag/ZrO2/WS2/Pt Memristor for Neuromorphic Computing,”ACS Appl Mater. Interfaces20191148029480381:CAS:528:DC%2BC1MXit1Oqt7rI3178903410.1021/acsami.9b17160 BattitiR“First- and second-order methods for learning: between steepest descent and Newton’s Method,”Neural Comput.1992414116610.1162/neco.1992.4.2.141 ShiTYinXBYangRGuoX“Pt/WO3/FTO memristive devices with recoverable pseudo-electroforming for time-delay switches in neuromorphic computing,”Phys. Chem. Chem. Phys.201618933893431:CAS:528:DC%2BC28XjvV2ju78%3D2699612010.1039/C5CP07675G Lammie, C., Xiang, W., Linares-Barranco, B. and Azghadi, M. R. “MemTorch: An Open-source Simulation Framework for Memristive Deep Learning Systems,” 1–14, 2020. XiaL“MNSIM: Simulation platform for memristor-based neuromorphic computing system,”IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.20183710091022 Li, G., Mandal, S. K., Ogras, U. Y. and Marculescu, R. “FLASH: Fast neural architecture search with hardware optimization,” ACM Trans. Embed. Compu. Syst., 20, https://doi.org/10.1145/3476994.2021 Hu, M. et al., “Memristor-based analog computation and neural network classification with a dot product engine,” Adv. Mater., 30, https://doi.org/10.1002/adma.201705914.2018 HongTChoiJALimKKimPEnhancing personalized ads using interest category classification of SNS users based on deep neural networksSens. 2021, Vol. 21, Page 199,202021199 Xiao, T. P., Bennett, C. H., Feinberg, B., Agarwal, S. and Marinella, M. J. “Analog architectures for neural network acceleration based on non-volatile memory,” Applied Physics Reviews, 7, American Institute of Physics Inc., https://doi.org/10.1063/1.5143815.2020. LiYAngK-W“Hardware implementation of neuromorphic computing using large-scale memristor crossbar arrays,”Adv. Intell. Syst.20213200013710.1002/aisy.202000137 Buchel, J. et al., “Gradient descent-based programming of analog in-memory computing cores,” Technical Digest - International Electron Devices Meeting, IEDM, 3311–3314, 2022, https://doi.org/10.1109/IEDM45625.2022.10019486.2022 “ChatGPT: Optimizing language models for dialogue.” Accessed: Feb. 13, 2023. [Online]. Available: https://openai.com/blog/chatgpt PanW-Q“Strategies to improve the accuracy of memristor-based convolutional neural networks,”Trans. Electron. Dev.,2020678959012020ITED...67..895P1:CAS:528:DC%2BB3cXhvVCgs73J10.1109/TED.2019.2963323 ChoiSSheridanPLuWD“Data clustering using memristor networks,”Sci. Rep.20155110 Tan, X., Qin, T., F. Soong, and T.-Y. Liu, “A survey on neural speech synthesis,” https://doi.org/10.48550/arxiv.2106.15561 2021. “Yole Group.” Accessed: Nov. 16, 2022. [Online]. Available: https://www.yolegroup.com/?cn-reloaded=1 AguirreFL“Minimization of the line resistance impact on memdiode-based simulations of multilayer perceptron arrays applied to pattern recognition,”J. Low. Power Electron. Appl.202111910.3390/jlpea11010009 ChenPYPengXYuS“NeuroSim: A circuit-level macro model for benchmarking neuro-inspired architectures in online learning,”IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.2018373067308010.1109/TCAD.2018.2789723 “Everspin | The MRAM Company.” Accessed: Nov. 16, 2022. [Online]. Available: https://www.everspin.com Ielmini, D. and Waser, R. Resistive Switching. Weinheim, Germany: Wiley-VCH Verlag GmbH & Co. KGaA, 2016. FletcherR“Function minimization by conjugate gradients,”Comput. J.1964714915418737510.1093/comjnl/7.2.149 ZhangW“Neuro-inspired computing chips,”Nat. Electron. 2020 3:7202033713822020epil.book.....Z YaoP“Fully hardware-implemented memristor convolutional neural network,”Nature20205776416462020Natur.577..641Y1:CAS:528:DC%2BB3cXktFegt74%3D3199681810.1038/s41586-020-1942-4 YuanZ“NAS4RRAM: neural network architecture search for inference on RRAM-based accelerators,”Sci. China Inf. Sci.20216410.1007/s11432-020-3245-7 Guan, Z. et al., “A hardware-aware neural architecture search pareto front exploration for in-memory computing,” in 2022 IEEE 16th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), IEEE, 1–4. https://doi.org/10.1109/ICSICT55466.2022.9963263.2022 Lau, K. T. and Lee, S. T. “A CMOS winner-takes-all circuit for self-organizing neural networks,” https://doi.org/10.1080/002072198134896, 84, 131–136, 2010 AguirreFL“Assessment and improvement of the pattern recognition performance of memdiode-based cross-point arrays with randomly distributed stuck-at-faults,”Electron.202110242710.3390/electronics10192427 RumelhartDEHintonGEWilliamsRJ“Learning representations by back-propagating errors,”Nature19863235335361986Natur.323..533R10.1038/323533a0 Jouppi, N. P. et al., In-datacenter performance analysis of a tensor processing unit. Proc. Int. Symp. Comput. Archit., Part F128643, 1–12, https://doi.org/10.1145/3079856.3080246.2017 Goux, L. et al., “Ultralow sub-500nA operating current high-performance TiN\Al 2O 3\HfO 2\Hf\TiN bipolar RRAM achieved through understanding-based stack-engineering,” Digest of Technical Papers - Symposium on VLSI Technology, 159–160, https://doi.org/10.1109/VLSIT.2012.6242510 2012 PorembaMZhangTXieY“NVMain 2.0: A user-friendly memory simulator to model (non-)volatile memory systems,”IEEE Comput. Archit. Lett.20151414014310.1109/LCA.2015.2402435 Guo, K. et al., Neural Network Accelerator Comparison. Accessed: Jan. 10, 2023. [Online]. Available: https://nicsefc.ee.tsinghua.edu.cn/projects/neural-network-accelerator.html PedrettiG“Redundancy and analog slicing for precise in-memory machine learning - Part I: Programming techniques,”IEEE Trans. Electron. Dev.202168437343782021ITED...68.4373P1:CAS:528:DC%2BB3MXitFeiur%2FJ10.1109/TED.2021.3095433 PriceMGlassJChandrakasanAP“A scalable speech recognizer with deep-neural-network acoustic models and voice-activated power gating,”Dig. Tech. Pap. IEEE Int Solid State Circuits Conf.201760244245 Murmann, B. “ADC Performance Survey 1997-2022.” Accessed: Sep. 05, 2022. [Online]. Available: http://web.stanford.edu/~murmann/adcsurvey.html. ChuM“Neuromorphic hardware system for visual pattern recognition with memristor array and CMOS neuron,”IEEE Trans. Ind. Electron.201562241024192015ITNS...62.2404C10.1109/TIE.2014.2356439 Fujiki, D., Mahlke, S. and Das, R. “In-memory data parallel processor,” in ACM SIGPLAN Notices, New York, NY, USA: ACM, 1–14. https://doi.org/10.1145/3173162.3173171.2018 HuangH-MWangZWangTXiaoYGuoX“Artificial neural networks based on memristive devices: from device to system,”Adv. Intell. Syst.20202200014910.1002/aisy.202000149 Liu, C., Hu, M., Strachan, J. P. and Li, H. H. “Rescuing memristor-based neuromorphic design with high defects,” in 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC), Institute of Electrical and Electronics Engineers Inc., https://doi.org/10.1145/3061639.3062310.2017. Hagan, M., Demuth, H., Beale, M. and De Jesús, O. Neural Network Design, 2nd ed. Stillwater, OK, USA: Oklahoma State University, 2014. Bocquet, M. et al., “In-memory and error-immune differential RRAM implementation of binarized deep neural networks,” Technical Digest - International Electron Devices Meeting, IEDM, 20.6.1-20.6.4, Jan. 2019, https://doi.org/10.1109/IEDM.2018.8614639.2018 OhS“Energy-efficient Mott activation neuron for full-hardware implementation of neural networks,”Nat. Nanotechnol.2021166806872021NatNa..16..680O1:CAS:528:DC%2BB3MXmvVynsbg%3D33737724862768610.1038/s41565-021-00874-8 “NVIDIA Data Center Deep Learning Product Performance | NVIDIA Developer.” Accessed: Nov. 28, 2022. [Online]. Available: https://developer.nvidia.com/deep-learning-performance-training-inference KullL“A 3.1 mW 8b 1.2 GS/s single-Channel asynchronous SAR ADC with alternate comparators for enhanced speed in 32 nm digital SOI CMOS,”IEEE J. Solid-State Circuits201348304930582013IJSSC..48.3049K10.1109/JSSC.2013.2279571 PanFGaoSChenCSongCZengF“Recent progress in resistive random access memories: Materials, switching mechanisms, and performance,”Mater. Sci. Eng. R: Rep.20148315910.1016/j.mser.2014.06.002 Viale, A., Marchisio, A., Martina, M., Masera, G., and Shafique, M. “CarSNN: An efficient spiking neural network for event-based autonomous cars on the Loihi Neuromorphic Research Processor,” 2021. Simonyan, K. and Zisserman, A. “Very deep convolutional networks for large-scale image recognition,” 2014. “FUJITSU SEMICONDUCTOR MEMORY SOLUTION.” Accessed: Nov. 16, 2022. [Online]. Available: https://www.fujitsu. T Hong (45670_CR8) 2020; 21 BJ Choi (45670_CR42) 2016; 26 ME Fouda (45670_CR104) 2019; 18 M Nourazar (45670_CR162) 2017; 93 L Xia (45670_CR240) 2018; 37 45670_CR35 45670_CR43 N Verma (45670_CR79) 2019; 11 R Fletcher (45670_CR175) 1964; 7 SP DeWeerth (45670_CR136) 1995; 31 DJ Wouters (45670_CR211) 2015; 103 F Kiani (45670_CR102) 2021; 7 S Yin (45670_CR75) 2020; 55 S Jain (45670_CR97) 2019; 63 G Zoppo (45670_CR187) 2020; 14 45670_CR49 Q Zhang (45670_CR289) 2018; 108 45670_CR48 45670_CR46 P Harpe (45670_CR152) 2016; 51 45670_CR45 45670_CR44 45670_CR53 S Xiao (45670_CR199) 2018; 272 45670_CR50 M Prezioso (45670_CR105) 2015; 521 D Querlioz (45670_CR269) 2013; 12 K Ando (45670_CR128) 2018; 53 45670_CR203 T Shi (45670_CR201) 2016; 18 45670_CR19 45670_CR18 SM Park (45670_CR207) 2020; 12 45670_CR17 45670_CR16 45670_CR15 45670_CR14 45670_CR13 X Fei (45670_CR243) 2021; 26 45670_CR20 X Feng (45670_CR70) 2021; 15 A Nag (45670_CR282) 2018; 38 S Choi (45670_CR166) 2015; 5 DE Goldberg (45670_CR169) 1988; 3 45670_CR215 45670_CR210 45670_CR29 45670_CR28 H-M Huang (45670_CR191) 2020; 2 45670_CR27 45670_CR26 J Duchi (45670_CR182) 2011; 12 W Li (45670_CR214) 2022; 57 45670_CR25 45670_CR24 H Tian (45670_CR200) 2017; 9 45670_CR23 45670_CR217 45670_CR22 45670_CR218 45670_CR32 45670_CR31 45670_CR30 W Wan (45670_CR60) 2022; 608 WS Khwa (45670_CR78) 2018; 61 F Merrikh-Bayat (45670_CR81) 2018; 29 C Sung (45670_CR36) 2018; 124 W-Q Pan (45670_CR66) 2020; 67 S Seo (45670_CR67) 2018; 9 FL Aguirre (45670_CR254) 2021; 9 C Diorio (45670_CR80) 1996; 43 Z Yuan (45670_CR263) 2021; 64 X Guan (45670_CR270) 2012; 33 45670_CR189 I Chakraborty (45670_CR96) 2020; 108 45670_CR87 45670_CR86 A Biswas (45670_CR76) 2019; 54 G Indiveri (45670_CR137) 2001; 28 T Hirtzlin (45670_CR272) 2020; 13 45670_CR185 FL Aguirre (45670_CR255) 2021; 10 45670_CR183 45670_CR184 45670_CR181 EI El-Masry (45670_CR141) 1997; 8 B Murmann (45670_CR74) 2021; 29 45670_CR180 S Kim (45670_CR213) 2017; 9 MM Shulaker (45670_CR39) 2017; 547 S Kirkpatrick (45670_CR170) 1983; 220 B Zhang (45670_CR69) 2021; 12 45670_CR89 45670_CR88 YH Chen (45670_CR131) 2017; 52 L Kull (45670_CR164) 2013; 48 F Li (45670_CR12) 2019; 31 45670_CR94 W Fei (45670_CR252) 2012; 20 45670_CR92 H Kim (45670_CR93) 2021; 12 45670_CR90 45670_CR196 45670_CR197 Y Li (45670_CR204) 2021; 3 Y He (45670_CR145) 1993; 29 45670_CR194 45670_CR195 45670_CR192 H Li (45670_CR51) 2021; 3 45670_CR190 PA Merolla (45670_CR34) 2014; 345 L Deng (45670_CR37) 2016; 380 X Yan (45670_CR62) 2019; 11 X Xiong (45670_CR186) 2020; 30 A Chen (45670_CR248) 2013; 60 45670_CR59 45670_CR58 M Prezioso (45670_CR216) 2018; 9 45670_CR57 Z Wang (45670_CR116) 2018; 1 45670_CR56 H Valavi (45670_CR77) 2019; 54 J Zhu (45670_CR205) 2020; 7 45670_CR64 45670_CR63 FL Aguirre (45670_CR250) 2021; 11 M Poremba (45670_CR239) 2015; 14 H Yu (45670_CR143) 1998; 45 S Oh (45670_CR121) 2021; 16 S Stathopoulos (45670_CR47) 2017; 7 C Li (45670_CR222) 2018; 1 S Menzel (45670_CR202) 2011; 21 PO Pouliquen (45670_CR147) 1997; 13 45670_CR68 45670_CR73 J Choi (45670_CR142) 1993; 28 J Liang (45670_CR271) 2013; 9 A Mehonic (45670_CR288) 2019; 13 P Narayanan (45670_CR72) 2021; 68 RH Walden (45670_CR151) 1999; 17 J Pei (45670_CR33) 2019; 572 45670_CR149 P Yao (45670_CR55) 2020; 577 JH Yoon (45670_CR41) 2017; 27 TP Xiao (45670_CR157) 2022; 22 45670_CR264 S Ambrogio (45670_CR122) 2018; 558 45670_CR144 45670_CR265 R Khaddam-Aljameh (45670_CR71) 2022; 57 G Pedretti (45670_CR114) 2021; 68 R Romero-Zaliz (45670_CR109) 2021; 10 I Amerini (45670_CR4) 2019; 7 45670_CR262 45670_CR260 45670_CR140 45670_CR261 F Xue (45670_CR65) 2021; 33 45670_CR158 45670_CR279 G Pedretti (45670_CR115) 2021; 68 45670_CR156 45670_CR277 AG Andreou (45670_CR133) 1991; 2 45670_CR278 45670_CR154 45670_CR275 S Yin (45670_CR61) 2020; 67 45670_CR155 45670_CR276 R Battiti (45670_CR178) 1992; 4 M Saberi (45670_CR163) 2011; 58 H Hazan (45670_CR227) 2018; 12 45670_CR273 45670_CR153 45670_CR274 45670_CR150 F Alibart (45670_CR188) 2013; 4 MKF Lee (45670_CR235) 2019; 15 F Pan (45670_CR212) 2014; 83 45670_CR167 45670_CR168 45670_CR165 45670_CR286 O Krestinskaya (45670_CR126) 2020; 10 45670_CR287 Z Dong (45670_CR268) 2019; 66 GW Burr (45670_CR267) 2015; 62 45670_CR99 PY Chen (45670_CR91) 2018; 37 MF Møller (45670_CR173) 1993; 6 I Yeo (45670_CR85) 2019; 66 BP Tan (45670_CR138) 2001; 48 45670_CR285 45670_CR161 YK Lee (45670_CR251) 2019; 10 45670_CR283 45670_CR160 A Mehonic (45670_CR10) 2022; 604 Z Wang (45670_CR206) 2016; 8 X Dong (45670_CR245) 2012; 31 X Sun (45670_CR229) 2019; 9 45670_CR179 45670_CR177 M Zahedi (45670_CR244) 2022; 18 W Jiang (45670_CR266) 2021; 70 DE Rumelhart (45670_CR171) 1986; 323 J Woo (45670_CR198) 2016; 37 A Demosthenous (45670_CR146) 1998; 45 A Fish (45670_CR148) 2005; 52 45670_CR172 45670_CR291 O Krestinskaya (45670_CR119) 2019; 66 45670_CR290 45670_CR226 45670_CR103 45670_CR224 45670_CR225 45670_CR101 45670_CR223 45670_CR220 45670_CR100 45670_CR221 C Li (45670_CR40) 2017; 8 45670_CR107 45670_CR228 45670_CR108 R Waser (45670_CR209) 2009; 21 45670_CR1 D Bankman (45670_CR281) 2018; 61 45670_CR2 T Serrano (45670_CR139) 1994; 5 45670_CR3 45670_CR5 45670_CR6 P Kumar (45670_CR118) 2022; 6 MJD Powell (45670_CR174) 1977; 12 45670_CR7 45670_CR9 E Pérez-Bosch Quesada (45670_CR111) 2021; 10 C Zhang (45670_CR11) 2021; 32 45670_CR237 M Chu (45670_CR84) 2015; 62 45670_CR117 M Price (45670_CR129) 2017; 60 45670_CR238 FL Aguirre (45670_CR253) 2020; 8 45670_CR233 45670_CR113 45670_CR234 45670_CR231 45670_CR232 E Talpes (45670_CR21) 2020; 40 P Wang (45670_CR82) 2019; 27 L Xia (45670_CR112) 2018; 8 A Amirsoleimani (45670_CR95) 2020; 2 WH Chen (45670_CR219) 2019; 2 45670_CR230 S Slesazeck (45670_CR208) 2019; 30 45670_CR127 45670_CR249 J Lee (45670_CR280) 2019; 54 45670_CR125 45670_CR246 45670_CR247 M Hu (45670_CR106) 2014; 25 45670_CR123 45670_CR124 45670_CR242 S Yu (45670_CR38) 2011; 58 W Zhang (45670_CR159) 2020; 3 45670_CR120 45670_CR241 DW Marquardt (45670_CR176) 1963; 11 M Bavandpour (45670_CR83) 2021; 1 E Pérez (45670_CR110) 2021; 176 45670_CR257 O Krestinskaya (45670_CR259) 2020; 2 45670_CR258 45670_CR134 M Le Gallo (45670_CR193) 2018; 1 45670_CR256 45670_CR132 C Li (45670_CR54) 2018; 9 JA Starzyk (45670_CR135) 1993; 29 A Ankit (45670_CR98) 2020; 69 P Lin (45670_CR52) 2020; 3 45670_CR130 A BanaGozar (45670_CR236) 2020; 12471 S Jain (45670_CR284) 2023; 31 |
| References_xml | – reference: Rattani, A. Reddy, N. and Derakhshani, R. “Multi-biometric Convolutional Neural Networks for Mobile User Authentication,” 2018 IEEE International Symposium on Technologies for Homeland Security, HST 2018, https://doi.org/10.1109/THS.2018.8574173 2018. – reference: Yang, J. et al., “Thousands of conductance levels in memristors monolithically integrated on CMOS,” https://doi.org/10.21203/RS.3.RS-1939455/V1.2022, – reference: Fritscher, M., Knodtel, J., Reichenbach, M. and Fey, D. “Simulating memristive systems in mixed-signal mode using commercial design tools,” 2019 26th IEEE International Conference on Electronics, Circuits and Systems, ICECS 2019, 225–228, https://doi.org/10.1109/ICECS46596.2019.8964856.2019, – reference: Xue, C. X. et al., “A 1Mb Multibit ReRAM computing-in-memory macro with 14.6ns Parallel MAC computing time for CNN based AI Edge processors,” Dig Tech Pap IEEE Int Solid State Circuits Conf, 2019-February, 388–390, https://doi.org/10.1109/ISSCC.2019.8662395.2019, – reference: Hu, M. et al., “Memristor-based analog computation and neural network classification with a dot product engine,” Adv. Mater., 30, https://doi.org/10.1002/adma.201705914.2018, – reference: Nandakumar, S. R. et al., “Mixed-precision deep learning based on computational memory,” Front. Neurosci., 14, https://doi.org/10.3389/fnins.2020.00406.2020, – reference: ZahediM“MNEMOSENE: Tile architecture and simulator for memristor-based computation-in-memory,”ACM J. Emerg. Technol. Comput. Syst.20221812410.1145/3485824 – reference: AmeriniILiC-TCaldelliRSocial network identification through image classification with CNNIEEE Access20197352643527310.1109/ACCESS.2019.2903876 – reference: Rasch, M. J. et al., “A flexible and fast PyTorch toolkit for simulating training and inference on analog crossbar arrays,” 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems, AICAS 2021, https://doi.org/10.48550/arxiv.2104.02184.2021, – reference: WoutersDJWaserRWuttigM“Phase-change and redox-based resistive switching memories,”Proc. IEEE2015103127412881:CAS:528:DC%2BC2sXjsV2hu7k%3D10.1109/JPROC.2015.2433311 – reference: FengX“Self-selective multi-terminal memtransistor crossbar array for in-memory computing,”ACS Nano202115176417741:CAS:528:DC%2BB3MXhtFCjsL4%3D3344341710.1021/acsnano.0c09441 – reference: IndiveriG“A current-mode hysteretic winner-take-all network, with excitatory and inhibitory coupling,”Analog Integr. Circuits Signal Process20012827929110.1023/A:1011208127849 – reference: JiangWDevice-circuit-architecture co-exploration for computing-in-memory neural acceleratorsIEEE Trans. Comput.202170595605426788110.1109/TC.2020.2991575 – reference: Khaddam-AljamehR“HERMES-Core-A 1.59-TOPS/mm2PCM on 14-nm CMOS in-memory compute core using 300-ps/LSB linearized CCO-based ADCs,”IEEE J. Solid-State Circuits202257102710382022IJSSC..57.1027K10.1109/JSSC.2022.3140414 – reference: AmirsoleimaniA“In-memory vector-matrix multiplication in monolithic complementary metal–oxide–semiconductor-memristor integrated circuits: design choices, challenges, and perspectives,”Adv. Intell. Syst.20202200011510.1002/AISY.202000115 – reference: LeCun, Y., Cortes, C., and Burges, C. J. C., “MNIST handwritten digit database of handwritten digits.” Accessed: Nov. 21, 2019. [Online]. Available: http://yann.lecun.com/exdb/mnist/ – reference: YeoIChuMGiSGHwangHLeeBG“Stuck-at-fault tolerant schemes for memristor crossbar array-based neural networks,”IEEE Trans. Electron Devices201966293729452019ITED...66.2937Y10.1109/TED.2019.2914460 – reference: HeYSánchez-SinencioE“Min-net winner-take-all CMOS implementation,”Electron Lett.199329123712391993ElL....29.1237H10.1049/el:19930827 – reference: Sun, X. et al, “XNOR-RRAM: A scalable and parallel resistive synaptic architecture for binary neural networks,” Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, DATE 2018, 2018-January, 1423–1428, https://doi.org/10.23919/DATE.2018.8342235.2018, – reference: Yamaoka, M. “Low-power SRAM,” in Green Computing with Emerging Memory: Low-Power Computation for Social Innovation, 9781461408123, Springer New York, 59–85. https://doi.org/10.1007/978-1-4614-0812-3_4/TABLES/4.2013, – reference: Li, M., Zhang, T., Chen, Y. and Smola, A. J. “Efficient mini-batch training for stochastic optimization,” Proceedings of the ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 661–670, https://doi.org/10.1145/2623330.2623612.2014, – reference: LiFYeYTianZZhangXCPU versus GPU: which can perform matrix computation faster—performance comparison for basic linear algebra subprogramsNeural Comput. Appl.2019314353436510.1007/s00521-018-3354-z – reference: Ielmini, D. and Waser, R. Resistive Switching. Weinheim, Germany: Wiley-VCH Verlag GmbH & Co. KGaA, 2016. – reference: ChenYHKrishnaTEmerJSSzeV“Eyeriss: An energy-efficient reconfigurable accelerator for deep convolutional neural networks,”IEEE J. Solid-State Circuits2017521271382017IJSSC..52..127C10.1109/JSSC.2016.2616357 – reference: Chandrasekaran, S., Simanjuntak, F. M., Saminathan, R., Panda, D. and Tseng, T. Y., “Improving linearity by introducing Al in HfO2 as a memristor synapse device,” Nanotechnology, 30, https://doi.org/10.1088/1361-6528/ab3480.2019, – reference: Habana L., “GoyaTM Inference Platform White Paper,” 1–14, 2019. – reference: AguirreFLPazosSMPalumboFSuñéJMirandaE“SPICE simulation of RRAM-based crosspoint arrays using the dynamic memdiode model,”Front Phys.2021910.3389/fphy.2021.735021 – reference: Banagozar, A. et al., “CIM-SIM: Computation in Memory SIMuIator,” in Proceedings of the 22nd International Workshop on Software and Compilers for Embedded Systems, SCOPES 2019, Association for Computing Machinery, Inc, 1–4. https://doi.org/10.1145/3323439.3323989.2019, – reference: ZhangQ“Sign backpropagation: An on-chip learning algorithm for analog RRAM neuromorphic computing systems,”Neural Netw.20181082172233021687110.1016/j.neunet.2018.08.012 – reference: PedrettiG“Redundancy and analog slicing for precise in-memory machine learning - Part I: Programming techniques,”IEEE Trans. Electron. Dev.202168437343782021ITED...68.4373P1:CAS:528:DC%2BB3MXitFeiur%2FJ10.1109/TED.2021.3095433 – reference: DongXXuCXieYJouppiNP“NVSim: A circuit-level performance, energy, and area model for emerging nonvolatile memory,”IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.201231994100710.1109/TCAD.2012.2185930 – reference: Zheng, N. and Mazumder, P. Learning in energy-efficient neuromorphic computing: algorithm and architecture co-design. Wiley-IEEE Press, Accessed: May 15, 2023. [Online]. Available: https://ieeexplore.ieee.org/book/8889858 2020. – reference: LinP“Three-dimensional memristor circuits as complex neural networks,”Nat. Electron.2020322523210.1038/s41928-020-0397-9 – reference: Krizhevsky, A., Nair, V., and Hinton, G. “The CIFAR-10 dataset.” Accessed: Apr. 04, 2023. [Online]. Available: https://www.cs.toronto.edu/~kriz/cifar.html – reference: AmbrogioS“Equivalent-accuracy accelerated neural-network training using analogue memory,”Nature201855860672018Natur.558...60A1:CAS:528:DC%2BC1cXhtV2lsr3O2987548710.1038/s41586-018-0180-5 – reference: Buscarino, A., Fortuna, L., Frasca, M., Gambuzza, L. V. and Sciuto, G., “Memristive chaotic circuits based on cellular nonlinear networks,” https://doi.org/10.1142/S0218127412500708, 22,3, 2012 – reference: Su, F. et al., “A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy harvesting IoE system featuring nonvolatile logics and processing-in-memory,” Digest of Technical Papers - Symposium on VLSI Technology, C260–C261, https://doi.org/10.23919/VLSIT.2017.7998149.2017, – reference: LiCAnalogue signal and image processing with large memristor crossbarsNat. Electron2018152592018btnd.book.....L10.1038/s41928-017-0002-z – reference: ChoiJSheuBJ“A high-precision vlsi winner-take-all circuit for self-organizing neural networks,”IEEE J. Solid-State Circuits1993285765841993IJSSC..28..576C10.1109/4.229397 – reference: Hagan, M., Demuth, H., Beale, M. and De Jesús, O. Neural Network Design, 2nd ed. Stillwater, OK, USA: Oklahoma State University, 2014. – reference: Bojnordi M. N. and Ipek, E. “Memristive Boltzmann machine: A hardware accelerator for combinatorial optimization and deep learning,” Proceedings - International Symposium on High-Performance Computer Architecture, 2016-April, 1–13, https://doi.org/10.1109/HPCA.2016.7446049.2016, – reference: Bottou, L. “Stochastic gradient descent tricks,” Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 7700 LECTURE NO, 421–436, https://doi.org/10.1007/978-3-642-35289-8_25/COVER.2012, – reference: DuchiJHazanESingerY“Adaptive subgradient methods for online learning and stochastic optimization,”J. Mach. Learn. Res.201112212121592825422 – reference: Yuan, G. et al., “An Ultra-Efficient Memristor-Based DNN Framework with Structured Weight Pruning and Quantization Using ADMM,” Proceedings of the International Symposium on Low Power Electronics and Design, 2019, https://doi.org/10.1109/ISLPED.2019.8824944.2019. – reference: Song, L., Qian, X., Li, H. and Chen, Y. “PipeLayer: A Pipelined ReRAM-based accelerator for deep learning,” Proceedings - International Symposium on High-Performance Computer Architecture, 541–552, https://doi.org/10.1109/HPCA.2017.55.2017, – reference: Deng, J. et al., “ImageNet: A large-scale hierarchical image database,” in 2009 IEEE Conference on Computer Vision and Pattern Recognition, IEEE, 2009, 248–255. – reference: TianH“A novel artificial synapse with dual modes using bilayer graphene as the bottom electrode,”Nanoscale20179927592831:CAS:528:DC%2BC2sXps1enu7s%3D2865707810.1039/C7NR03106H – reference: HazanH“BindsNET: A machine learning-oriented spiking neural networks library in python,”Front Neuroinform2018128930631269631518210.3389/fninf.2018.00089 – reference: AI Chip - Amazon Inferentia - AWS. Accessed: May 15, 2023. [Online]. Available: https://aws.amazon.com/machine-learning/inferentia/ – reference: BiswasAChandrakasanAP“CONV-SRAM: An energy-efficient SRAM with in-memory dot-product computation for low-power convolutional neural networks,”IEEE J. Solid-State Circuits2019542172302019IJSSC..54..217B10.1109/JSSC.2018.2880918 – reference: Grötker, T., “System design with SystemC,” 217, 2002. – reference: Carnevale N. T. and Hines, M. L. “The NEURON book,” The NEURON Book, 1–457, https://doi.org/10.1017/CBO9780511541612.2006, – reference: StarzykJAFangX“CMOS current mode winner-take-all circuit with both excitatory and inhibitory feedback,”Electron. Lett.1993299089101993ElL....29..908S10.1049/el:19930606 – reference: SunXYuS“Impact of non-ideal characteristics of resistive synaptic devices on implementing convolutional neural networks,”IEEE J. Emerg. Sel. Top. Circuits Syst.201995705792019IJEST...9..570S10.1109/JETCAS.2019.2933148 – reference: BavandpourMSahaySMahmoodiMRStrukovDB“3D-aCortex: an ultra-compact energy-efficient neurocomputing platform based on commercial 3D-NAND flash memories,”Neuromorph. Comput. Eng.2021101400110.1088/2634-4386/ac0775 – reference: Poremba, M. and Xie, Y. “NVMain: An architectural-level main memory simulator for emerging non-volatile memories,” Proceedings - 2012 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2012, 392–397, https://doi.org/10.1109/ISVLSI.2012.82.2012, – reference: SlesazeckSMikolajickT“Nanoscale resistive switching memory devices: a review,”Nanotechnology2019303520031:CAS:528:DC%2BC1MXhsl2mtLfO3107168910.1088/1361-6528/ab2084 – reference: Guo, K. et al., Neural Network Accelerator Comparison. Accessed: Jan. 10, 2023. [Online]. Available: https://nicsefc.ee.tsinghua.edu.cn/projects/neural-network-accelerator.html – reference: Li, G. H. Y. et al., “All-optical ultrafast ReLU function for energy-efficient nanophotonic deep learning,” Nanophotonics, https://doi.org/10.1515/NANOPH-2022-0137/ASSET/GRAPHIC/J_NANOPH-2022-0137_FIG_007.JPG.2022, – reference: SaberiMLotfiRMafinezhadKSerdijnWA“Analysis of power consumption and linearity in capacitive digital-to-analog converters used in successive approximation ADCs,”IEEE Trans. Circuits Syst. I: Regul. Pap.20115817361748286632710.1109/TCSI.2011.2107214 – reference: “ChatGPT: Optimizing language models for dialogue.” Accessed: Feb. 13, 2023. [Online]. Available: https://openai.com/blog/chatgpt/ – reference: AguirreFL“Assessment and improvement of the pattern recognition performance of memdiode-based cross-point arrays with randomly distributed stuck-at-faults,”Electron.202110242710.3390/electronics10192427 – reference: Chakradhar, S., Sankaradas, M., Jakkula, V. and Cadambi, S. A dynamically configurable coprocessor for convolutional neural networks, Proc. Int. Symp. Comput. Archit., 247–257, https://doi.org/10.1145/1815961.1815993 2010. – reference: Zhu, Z. et al., “MNSIM 2.0: A behavior-level modeling tool for memristor-based neuromorphic computing systems,” in Proceedings of the ACM Great Lakes Symposium on VLSI, GLSVLSI, Association for Computing Machinery, 83–88. https://doi.org/10.1145/3386263.3407647.2020, – reference: PreziosoM“Training and operation of an integrated neuromorphic network based on metal-oxide memristors,”Nature201552161642015Natur.521...61P1:CAS:528:DC%2BC2MXnvFWjtb4%3D2595128410.1038/nature14441 – reference: ChenWH“CMOS-integrated memristive non-volatile computing-in-memory for AI edge processors,”Nat. Electron.201924204281:CAS:528:DC%2BC1MXhs1Gisr3K10.1038/s41928-019-0288-0 – reference: XiaoS“GST-memristor-based online learning neural networks,”Neurocomputing201827267768210.1016/j.neucom.2017.08.014 – reference: LeeYK“Matrix mapping on crossbar memory arrays with resistive interconnects and its use in in-memory compression of biosignals,”Micromachines20191030631067708656279610.3390/mi10050306 – reference: Papandreou, N. et al., “Programming algorithms for multilevel phase-change memory,” Proceedings - IEEE International Symposium on Circuits and Systems, 329–332, https://doi.org/10.1109/ISCAS.2011.5937569.2011, – reference: ChenA“A comprehensive crossbar array model with solutions for line resistance and nonlinear device characteristics,”IEEE Trans. Electron Devices201360131813262013ITED...60.1318C10.1109/TED.2013.2246791 – reference: DongZ“Convolutional neural networks based on RRAM devices for image recognition and online learning tasks,”IEEE Trans. Electron. Dev.2019667938012019ITED...66..793D10.1109/TED.2018.2882779 – reference: SerranoTLinares-BarrancoB“Modular current-mode high-precision winner-take-all circuit,”Proc. - IEEE Int. Symp. Circuits Syst.19945557560 – reference: Pavan, S., Schreier, R.. and Temes, G. C. “Understanding Delta-Sigma Data Converters.”. – reference: WangZ“Fully memristive neural networks for pattern classification with unsupervised learning,”Nat. Electron.2018113714510.1038/s41928-018-0023-2 – reference: LiWSunXHuangSJiangHYuS“A 40-nm MLC-RRAM compute-in-memory macro with sparsity control, On-Chip Write-verify, and temperature-independent ADC references,”IEEE J. Solid-State Circuits202257286828772022IJSSC..57.2868L10.1109/JSSC.2022.3163197 – reference: Wang, Y. “High on/off ratio black phosphorus based memristor with ultra-thin phosphorus oxide layer,” Appl. Phys. Lett., 115, https://doi.org/10.1063/1.5115531.2019, – reference: Inc. The Mathworks, “MATLAB.” Natick, Massachusetts, 2019. – reference: Cheng, M. et al., “TIME: A Training-in-memory architecture for Memristor-based deep neural networks,” Proc. Des. Autom. Conf., Part 12828, 0–5, https://doi.org/10.1145/3061639.3062326.2017, – reference: Reuther, A. et al, “AI and ML Accelerator Survey and Trends,” 2022 IEEE High Performance Extreme Computing Conference, HPEC 2022, https://doi.org/10.1109/HPEC55821.2022.9926331.2022, – reference: WanW“A compute-in-memory chip based on resistive random-access memory,”Nature20226085045122022Natur.608..504W1:CAS:528:DC%2BB38XitFGls77N35978128938548210.1038/s41586-022-04992-8 – reference: Yin, S. et al., “A 1.06-to-5.09 TOPS/W reconfigurable hybrid-neural-network processor for deep learning applications,” IEEE Symposium on VLSI Circuits, Digest of Technical Papers, C26–C27, https://doi.org/10.23919/VLSIC.2017.8008534.2017, – reference: Yu, S. et al., “Binary neural network with 16 Mb RRAM macro chip for classification and online training,” in Technical Digest - International Electron Devices Meeting, IEDM, Institute of Electrical and Electronics Engineers Inc., 16.2.1-16.2.4. https://doi.org/10.1109/IEDM.2016.7838429.2017, – reference: BankmanDYangLMoonsBVerhelstMMurmannB“An always-on 3.8μJ/86% CIFAR-10 mixed-signal binary CNN processor with all memory on chip in 28nm CMOS,”Dig. Tech. Pap. IEEE Int Solid State Circuits Conf.201861222224 – reference: BattitiR“First- and second-order methods for learning: between steepest descent and Newton’s Method,”Neural Comput.1992414116610.1162/neco.1992.4.2.141 – reference: “FUJITSU SEMICONDUCTOR MEMORY SOLUTION.” Accessed: Nov. 16, 2022. [Online]. Available: https://www.fujitsu.com/jp/group/fsm/en/ – reference: StathopoulosS“Multibit memory operation of metal-oxide Bi-layer memristors,”Sci. Rep.20177171:CAS:528:DC%2BC1cXhsFGitLrK10.1038/s41598-017-17785-1 – reference: Chen, Q. et al, “Improving the recognition accuracy of memristive neural networks via homogenized analog type conductance quantization,” Micromachines, 11, https://doi.org/10.3390/MI11040427.2020, – reference: Lazzaro, J., Ryckebusch, S. M., Mahowald, A. and Mead, C. A. “Winner-Take-All Networks of O(N) Complexity,” in Advances in Neural Information Processing Systems, D. Touretzky, Ed., Morgan-Kaufmann, 1988. – reference: Zeiler, M. D. “ADADELTA: An adaptive learning rate method,” Dec. 2012, https://doi.org/10.48550/arxiv.1212.5701. – reference: WaserRDittmannRStaikovCSzotK“Redox-based resistive switching memories nanoionic mechanisms, prospects, and challenges,”Adv. Mater.200921263226631:CAS:528:DC%2BD1MXptVKntrg%3D3675106410.1002/adma.200900375 – reference: “Gyrfalcon Unveils Fourth AI Accelerator Chip - EE Times.” Accessed: May 16, 2023. [Online]. Available: https://www.eetimes.com/gyrfalcon-unveils-fourth-ai-accelerator-chip/ – reference: KumarP“Hybrid architecture based on two-dimensional memristor crossbar array and CMOS integrated circuit for edge computing,”npj 2D Mater. Appl.2022611010.1038/s41699-021-00284-3 – reference: ShiTYinXBYangRGuoX“Pt/WO3/FTO memristive devices with recoverable pseudo-electroforming for time-delay switches in neuromorphic computing,”Phys. Chem. Chem. Phys.201618933893431:CAS:528:DC%2BC28XjvV2ju78%3D2699612010.1039/C5CP07675G – reference: HongTChoiJALimKKimPEnhancing personalized ads using interest category classification of SNS users based on deep neural networksSens. 2021, Vol. 21, Page 199,202021199 – reference: Farabet, C. Poulet, C., Han, J. Y. and LeCun, Y. CNP: An FPGA-based processor for Convolutional Networks, FPL 09: 19th International Conference on Field Programmable Logic and Applications, 32–37, https://doi.org/10.1109/FPL.2009.5272559 2009. – reference: Lau, K. T. and Lee, S. T. “A CMOS winner-takes-all circuit for self-organizing neural networks,” https://doi.org/10.1080/002072198134896, 84, 131–136, 2010 – reference: ZhangCIMLBench: A machine learning benchmark suite for CPU-GPU integrated architecturesIEEE Trans. Parallel Distrib. Syst.202132174017522021ITAP...69.1740Z10.1109/TPDS.2020.3046870 – reference: He, K., Zhang, X., Ren, S. and Sun, J. “Deep Residual Learning for Image Recognition,” in 2016 IEEE Conference on Computer Vision and Pattern Recognition (CVPR), IEEE, 770–778. https://doi.org/10.1109/CVPR.2016.90.2016, – reference: YinSJiangZSeoJSSeokM“XNOR-SRAM: In-memory computing SRAM macro for binary/ternary deep neural networks,”IEEE J. Solid-State Circuits20205517331743 – reference: Gajski, D. D. “SpecC: specification language and methodology,” 313, 2000. – reference: PanFGaoSChenCSongCZengF“Recent progress in resistive random access memories: Materials, switching mechanisms, and performance,”Mater. Sci. Eng. R: Rep.20148315910.1016/j.mser.2014.06.002 – reference: Aguirre, F. L. et al., “Line resistance impact in memristor-based multi layer perceptron for pattern recognition,” in 2021 IEEE 12th Latin American Symposium on Circuits and Systems, LASCAS 2021, Institute of Electrical and Electronics Engineers Inc., Feb. https://doi.org/10.1109/LASCAS51355.2021.9667132.2021. – reference: WangP“Three-dimensional NAND flash for vector-matrix multiplication,”IEEE Trans. Very Large Scale Integr. VLSI Syst.20192798899110.1109/TVLSI.2018.2882194 – reference: XiaoTPOn the Accuracy of Analog Neural Network Inference Accelerators[Feature],” IEEE Circuits Syst. Mag.202222264810.1109/MCAS.2022.3214409 – reference: Yao, P. et al., “Face classification using electronic synapses,” Nat. Commun., 8, May, 1–8, https://doi.org/10.1038/ncomms15199.2017, – reference: ChenPYPengXYuS“NeuroSim: A circuit-level macro model for benchmarking neuro-inspired architectures in online learning,”IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.2018373067308010.1109/TCAD.2018.2789723 – reference: BanaGozarA“System simulation of memristor based computation in memory platforms,”Lect. Notes Comput. Sci. (Subser. Lect. Notes Artif. Intell. Lect. Notes Bioinforma.)202012471152168 – reference: Correll, J. M. et al., “An 8-bit 20.7 TOPS/W Multi-Level Cell ReRAM-based Compute Engine,” in 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), IEEE, 264–265. https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830490.2022, – reference: KrestinskayaOChoubeyBJamesAP“Memristive GAN in Analog,”Sci. Rep. 2020 10:1202010114 – reference: ZhuJZhangTYangYHuangR“A comprehensive review on emerging artificial neuromorphic devices,”Appl Phys. Rev.202070113121:CAS:528:DC%2BB3cXjvFGlt7Y%3D10.1063/1.5118217 – reference: YoonJH“Truly electroforming-free and low-energy memristors with preconditioned conductive tunneling paths,”Adv. Funct. Mater.201727170201010.1002/adfm.201702010 – reference: WaldenRH“Analog-to-digital converter survey and analysis,”IEEE J. Sel. Areas Commun.19991753955010.1109/49.761034 – reference: WangZ“Engineering incremental resistive switching in TaOx based memristors for brain-inspired computing,”Nanoscale2016814015140222016Nanos...814015W1:CAS:528:DC%2BC28Xms1WjtLk%3D2714347610.1039/C6NR00476H – reference: Zhang, C. et al., Optimizing FPGA-based accelerator design for deep convolutional neural networks, FPGA 2015 - 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 161–170, https://doi.org/10.1145/2684746.2689060 2015. – reference: JainS“Neural network accelerator design with resistive crossbars: Opportunities and challenges,”IBM J. Res Dev.201963610.1147/JRD.2019.2947011 – reference: Stimberg, M., Brette, R. and Goodman, D. F. M. “Brian 2, an intuitive and efficient neural simulator,” Elife, 8, https://doi.org/10.7554/ELIFE.47314.2019, – reference: “NVIDIA Data Center Deep Learning Product Performance | NVIDIA Developer.” Accessed: Nov. 28, 2022. [Online]. Available: https://developer.nvidia.com/deep-learning-performance-training-inference – reference: DemosthenousASmedleySTaylorJ“A CMOS analog winner-take-all network for large-scale applications,”IEEE Trans. Circuits Syst. I: Fundam. Theory Appl.19984530030410.1109/81.662705 – reference: T. Rabuske and J. Fernandes, “Charge-Sharing SAR ADCs for low-voltage low-power applications,” https://doi.org/10.1007/978-3-319-39624-8.2017, – reference: FeiXZhangYZhengW“XB-SIM: A simulation framework for modeling and exploration of ReRAM-based CNN acceleration design,”Tsinghua Sci. Technol.20212632233410.26599/TST.2019.9010070 – reference: Wu, W. et al., “Demonstration of a multi-level μA-range bulk switching ReRAM and its application for keyword spotting,” Technical Digest - International Electron Devices Meeting, IEDM, 2022-December, 1841–1844, https://doi.org/10.1109/IEDM45625.2022.10019450.2022, – reference: “Everspin | The MRAM Company.” Accessed: Nov. 16, 2022. [Online]. Available: https://www.everspin.com/ – reference: SungCHwangHYooIK“Perspective: A review on memristive hardware for neuromorphic computation,”J. Appl. Phys.20181241510.1063/1.5037835 – reference: WooJ“Improved synaptic behavior under identical pulses using AlOx/HfO2 bilayer RRAM array for neuromorphic systems,”IEEE Electron. Device Lett.2016379949972016IEDL...37..994W1:CAS:528:DC%2BC28Xhs1OnsrbL10.1109/LED.2016.2582859 – reference: Krestinskaya, O., Salama, K. and James, A. P. “Towards hardware optimal neural network selection with multi-objective genetic search,” Proceedings - IEEE International Symposium on Circuits and Systems, 2020, 2020, https://doi.org/10.1109/ISCAS45731.2020.9180514/VIDEO. – reference: MøllerMF“A scaled conjugate gradient algorithm for fast supervised learning,”Neural Netw.1993652553310.1016/S0893-6080(05)80056-5 – reference: JainS“A heterogeneous and programmable compute-in-memory accelerator architecture for analog-AI using dense 2-D Mesh,”IEEE Trans. Very Large Scale Integr. VLSI Syst.20233111412710.1109/TVLSI.2022.3221390 – reference: KimHMahmoodiMRNiliHStrukovDB“4K-memristor analog-grade passive crossbar circuit,”Nat. Commun.20211211110.1038/s41467-021-25455-0 – reference: Yu, S. et al., “Scaling-up resistive synaptic arrays for neuro-inspired architecture: Challenges and prospect,” in Technical Digest - International Electron Devices Meeting, IEDM, Institute of Electrical and Electronics Engineers Inc., 17.3.1-17.3.4. https://doi.org/10.1109/IEDM.2015.7409718.2015, – reference: Wu, T. F. et al., “A 43pJ/Cycle Non-Volatile Microcontroller with 4.7μs Shutdown/Wake-up Integrating 2.3-bit/Cell Resistive RAM and Resilience Techniques,” Dig Tech Pap IEEE Int Solid State Circuits Conf, 2019-February, 226–228, https://doi.org/10.1109/ISSCC.2019.8662402.2019, – reference: KianiFYinJWangZJoshua YangJXiaQ“A fully hardware-based memristive multilayer neural network,”Sci. Adv.2021748012021SciA....7.4801K10.1126/sciadv.abj4801 – reference: Adam, G. C., Khiat, A., and Prodromakis, T. “Challenges hindering memristive neuromorphic hardware from going mainstream,” Nat. Commun., 9, Nature Publishing Group, 1–4, https://doi.org/10.1038/s41467-018-07565-4.2018. – reference: LeeMKF“A system-level simulator for RRAM-based neuromorphic computing chips,”ACM Trans. Archit. Code Optim. (TACO)2019154 – reference: HirtzlinT“Digital biologically plausible implementation of binarized neural networks with differential hafnium oxide resistive memory arrays,”Front Neurosci.202013138331998059696210210.3389/fnins.2019.01383 – reference: Jouppi, N. P. et al., In-datacenter performance analysis of a tensor processing unit. Proc. Int. Symp. Comput. Archit., Part F128643, 1–12, https://doi.org/10.1145/3079856.3080246.2017, – reference: ValaviHRamadgePJNestlerEVermaN“A 64-Tile 2.4-Mb In-memory-computing CNN accelerator employing charge-domain compute,”IEEE J. Solid-State Circuits201954178917992019IJSSC..54.1789V10.1109/JSSC.2019.2899730 – reference: DeWeerthSPMorrisTG“CMOS current mode winner-take-all circuit with distributed hysteresis,”Electron. Lett.199531105110531995ElL....31.1051D10.1049/el:19950729 – reference: AndoK“BRein memory: a single-chip binary/ternary reconfigurable in-memory deep neural network accelerator achieving 1.4 TOPS at 0.6 W,”IEEE J. Solid-State Circuits2018539839942018IJSSC..53..983A10.1109/JSSC.2017.2778702 – reference: Shafiee, A. et al., “ISAAC: A Convolutional Neural Network Accelerator with In-Situ Analog Arithmetic in Crossbars,” in Proceedings - 2016 43rd International Symposium on Computer Architecture, ISCA 2016, Institute of Electrical and Electronics Engineers Inc., 14–26. https://doi.org/10.1109/ISCA.2016.12.2016, – reference: Gokmen, T. and Vlasov, Y. “Acceleration of deep neural network training with resistive cross-point devices: Design considerations,” Front. Neurosci., 10, no. JUL, https://doi.org/10.3389/fnins.2016.00333.2016, – reference: Ankit, A. et al., “PUMA: A Programmable Ultra-efficient Memristor-based Accelerator for Machine Learning Inference,” International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS, 715–731, https://doi.org/10.1145/3297858.3304049.2019, – reference: “TCAD - Technology Computer Aided Design (TCAD) | Synopsys.” Accessed: Jan. 20, 2023. [Online]. Available: https://www.synopsys.com/silicon/tcad.html – reference: PedrettiG“Redundancy and analog slicing for precise in-memory machine learning - Part II: Applications and benchmark,”IEEE Trans. Electron. Dev.202168437943832021ITED...68.4379P10.1109/TED.2021.3095430 – reference: Sun H. et al., “Gibbon: Efficient co-exploration of NN model and processing-in-memory architecture,” in 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, 867–872. https://doi.org/10.23919/DATE54114.2022.9774605.2022, – reference: PowellMJD“Restart procedures for the conjugate gradient method,”Math. Program.19771224125447862210.1007/BF01593790 – reference: XiongX“Reconfigurable logic-in-memory and multilingual artificial synapses based on 2D heterostructures,”Adv. Funct. Mater.2020302710.1002/adfm.201909645 – reference: Xiao, T. P., Bennett, C. H., Feinberg, B., Agarwal, S. and Marinella, M. J. “Analog architectures for neural network acceleration based on non-volatile memory,” Applied Physics Reviews, 7, American Institute of Physics Inc., https://doi.org/10.1063/1.5143815.2020. – reference: BurrGWExperimental demonstration and tolerancing of a large-scale neural network (165 000 Synapses) using phase-change memory as the synaptic weight elementIEEE Trans. Electron Devices201562349835072015ITED...62.3498B10.1109/TED.2015.2439635 – reference: MarquardtDW“An algorithm for least-squares estimation of nonlinear parameters,”J. Soc. Ind. Appl. Math.19631143144115307110.1137/0111030 – reference: Liu, Q. et al., “A Fully Integrated Analog ReRAM based 78.4TOPS/W compute-in-memory chip with fully parallel MAC computing,” Dig. Tech. Pap. IEEE Int. Solid State Circuits Conf, 2020-February, 500–502, https://doi.org/10.1109/ISSCC19947.2020.9062953.2020, – reference: Wei X. et al., Automated systolic array architecture synthesis for high throughput CNN Inference on FPGAs, Proc. Des. Autom. Conf., Part 128280, https://doi.org/10.1145/3061639.3062207 2017. – reference: Park, S. et al., “Electronic system with memristive synapses for pattern recognition,” Sci. Rep., 5, https://doi.org/10.1038/srep10123.2015, – reference: NourazarMRashtchiVAzarpeyvandAMerrikh-BayatF“Memristor-based approximate matrix multiplier,”Analog. Integr. Circuits Signal Process20179336337310.1007/s10470-017-1029-9 – reference: Riedmiller, M. and Braun, H. “Direct adaptive method for faster backpropagation learning: The RPROP algorithm,” in 1993 IEEE International Conference on Neural Networks, Publ by IEEE, 586–591. https://doi.org/10.1109/icnn.1993.298623.1993, – reference: Gai, L. and Gajski, D. “Transaction level modeling: an overview,” Hardware/Software Codesign - Proceedings of the International Workshop, 19–24, https://doi.org/10.1109/CODESS.2003.1275250.2003, – reference: MehonicAJoksasDNgWHBuckwellMKenyonAJ“Simulation of inference accuracy using realistic rram devices,”Front. Neurosci.20191311510.3389/fnins.2019.00593 – reference: ShulakerMM“Three-dimensional integration of nanotechnologies for computing and data storage on a single chip,”Nature201754774782017Natur.547...74S1:CAS:528:DC%2BC2sXhtFaqt7nF2868233110.1038/nature22994 – reference: ZhangB“90% yield production of polymer nano-memristor for in-memory computing,”Nat. Commun.2021121112021NatCo..12...11Z – reference: LiangJYehSSimon WongSPhilip WongHS“Effect of wordline/bitline scaling on the performance, energy consumption, and reliability of cross-point memory array,”ACM J. Emerg. Technol. Comput. Syst.2013911410.1145/2422094.2422103 – reference: “Yole Group.” Accessed: Nov. 16, 2022. [Online]. Available: https://www.yolegroup.com/?cn-reloaded=1 – reference: Dennis, J. E. and Schnabel, R. B. Numerical Methods for Unconstrained Optimization and Nonlinear Equations. Society for Industrial and Applied Mathematics, https://doi.org/10.1137/1.9781611971200.1996. – reference: Zamanidoost, E., Bayat, F. M., Strukov, D. and Kataeva, I. “Manhattan rule training for memristive crossbar circuit pattern classifiers,” WISP 2015 - IEEE International Symposium on Intelligent Signal Processing, Proceedings, https://doi.org/10.1109/WISP.2015.7139171.2015, – reference: Pouliquen, P. O., Andreou, A. G., Strohbehn, K. and Jenkins, R. E. “Associative memory integrated system for character recognition,” Midwest Symposium on Circuits and Systems, 1, 762–765, https://doi.org/10.1109/MWSCAS.1993.342935.1993, – reference: ChuM“Neuromorphic hardware system for visual pattern recognition with memristor array and CMOS neuron,”IEEE Trans. Ind. Electron.201562241024192015ITNS...62.2404C10.1109/TIE.2014.2356439 – reference: TanBPWilsonDM“Semiparallel rank order filtering in analog VLSI,”IEEE Trans. Circuits Syst. II: Analog Digit. Signal Process.200148198205 – reference: Ingle P. Y. and Kim, Y. G. “Real-time abnormal object detection for video surveillance in smart cities,” Sensors, 22,https://doi.org/10.3390/s22103862 2022. – reference: YuanZ“NAS4RRAM: neural network architecture search for inference on RRAM-based accelerators,”Sci. China Inf. Sci.20216410.1007/s11432-020-3245-7 – reference: ChakrabortyI“Resistive crossbars as approximate hardware building blocks for machine learning: opportunities and challenges,”Proc. IEEE20201082276231010.1109/JPROC.2020.3003007 – reference: YanX“Robust Ag/ZrO2/WS2/Pt Memristor for Neuromorphic Computing,”ACS Appl Mater. Interfaces20191148029480381:CAS:528:DC%2BC1MXit1Oqt7rI3178903410.1021/acsami.9b17160 – reference: Ohnhäuser, F. “Analog-Digital Converters for Industrial Applications Including an Introduction to Digital-Analog Converters,” 2015. – reference: PouliquenPOAndreouAGStrohbehnK“Winner-Takes-All associative memory: A hamming distance vector quantizer,”Analog Integr. Circuits Signal Process. 1997 13:119971321122210.1023/A:1008204616413 – reference: AguirreFLPazosSMPalumboFSuñéJMirandaE“Application of the quasi-static memdiode model in cross-point arrays for large dataset pattern recognition,”IEEE Access202081110.1109/ACCESS.2020.3035638 – reference: LiCThree-dimensional crossbar arrays of self-rectifying Si/SiO2/Si memristorsNat. Commun. 2017 8:12017819 – reference: XueF“Giant ferroelectric resistance switching controlled by a modulatory terminal for low-power neuromorphic in-memory computing,”Adv. Mater.202133112 – reference: DiorioCHaslerPMinchAMeadCA“A single-transistor silicon synapse,”IEEE Trans. Electron. Dev.199643197219801996ITED...43.1972D1:CAS:528:DyaK28XntF2rt74%3D10.1109/16.543035 – reference: RumelhartDEHintonGEWilliamsRJ“Learning representations by back-propagating errors,”Nature19863235335361986Natur.323..533R10.1038/323533a0 – reference: HarpePGaoHVan DommeleRCantatoreEVan RoermundAHM“A 0.20 mm2 3 nW signal acquisition IC for miniature sensor nodes in 65 nm CMOSIEEE J. Solid-State Circuits20165124024810.1109/JSSC.2015.2487270 – reference: MehonicAKenyonAJBrain-inspired computing needs a master planNature20226042552602022Natur.604..255M1:CAS:528:DC%2BB38XpvV2hsbw%3D3541863010.1038/s41586-021-04362-w – reference: Wang, Q., Wang, X., Lee, S. H., Meng, F.-H. and Lu W. D., “A Deep Neural Network Accelerator Based on Tiled RRAM Architecture,” in 2019 IEEE International Electron Devices Meeting (IEDM), IEEE, 14.4.1-14.4.4. https://doi.org/10.1109/IEDM19573.2019.8993641.2019, – reference: Merrikh-BayatF“High-performance mixed-signal neurocomputing with nanoscale floating-gate memory cell arrays,”IEEE Trans. Neural Netw. Learn Syst.201829478247902999026710.1109/TNNLS.2017.2778940 – reference: Sebastian, A., Le Gallo, M., Khaddam-Aljameh, R. and Eleftheriou, E. “Memory devices and applications for in-memory computing,” Nat. Nanotechnol. 2020 15:7, 15, 529–544, https://doi.org/10.1038/s41565-020-0655-z. – reference: YuHMiyaokaRS“A High-Speed and High-Precision Winner-Select-Output (WSO) ASIC,”IEEE Trans. Nucl. Sci.1998457727761998ITNS...45..772Y10.1109/23.682634PART 1 – reference: QuerliozDBichlerODollfusPGamratC“Immunity to device variations in a spiking neural network with memristive nanodevices,”IEEE Trans. Nanotechnol.2013122882952013ITNan..12..288Q1:CAS:528:DC%2BC3sXpvFSiur0%3D10.1109/TNANO.2013.2250995 – reference: Hu, M. et al., “Dot-product engine for neuromorphic computing,” in DAC ’16: Proceedings of the 53rd Annual Design Automation Conference, New York, NY, USA: Association for Computing Machinery, 1–6. https://doi.org/10.1145/2897937.2898010.2016, – reference: AguirreFL“Minimization of the line resistance impact on memdiode-based simulations of multilayer perceptron arrays applied to pattern recognition,”J. Low. Power Electron. Appl.202111910.3390/jlpea11010009 – reference: Mochida, R. et al. “A 4M synapses integrated analog ReRAM based 66.5 TOPS/W neural-network processor with cell current controlled writing and flexible network architecture,” Digest of Technical Papers - Symposium on VLSI Technology, 175–176, Oct. 2018, 2018 – reference: LiC“Efficient and self-adaptive in-situ learning in multilayer memristor neural networks,”Nat. Commun.20189182018NatCo...9...11L – reference: KrestinskayaOSalamaKNJamesAP“Learning in memristive neural network architectures using analog backpropagation circuits,”IEEE Trans. Circuits Syst. I: Regul. Pap.20196671973210.1109/TCSI.2018.2866510 – reference: ChoiBJ“High-speed and low-energy nitride memristors,”Adv. Funct. Mater.201626529052961:CAS:528:DC%2BC28XosVWhsLY%3D10.1002/adfm.201600680 – reference: “Neural Networks for Machine Learning — Geoffrey Hinton – C. Cui’s Blog.” Accessed: Nov. 21, 2022. [Online]. Available: https://cuicaihao.com/neural-networks-for-machine-learning-geoffrey-hinton/ – reference: Starzyk, J. A. and Jan, Y. W. “Voltage based winner takes all circuit for analog neural networks,” Midwest Symposium on Circuits and Systems, 1, 501–504, https://doi.org/10.1109/mwscas.1996.594211, 1996 – reference: Farabet, C. et al., NeuFlow: A runtime reconfigurable dataflow processor for vision, IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops, 109–116, https://doi.org/10.1109/CVPRW.2011.5981829 2011. – reference: Chua, L. O., Tetzlaff, R. and Slavova, A. Eds., Memristor Computing Systems. Springer International Publishing, https://doi.org/10.1007/978-3-030-90582-8.2022. – reference: ZhangW“Neuro-inspired computing chips,”Nat. Electron. 2020 3:7202033713822020epil.book.....Z – reference: Ni, L. et al., “An energy-efficient matrix multiplication accelerator by distributed in-memory computing on binary RRAM crossbar,” Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 25-28-January-2016, 280–285, https://doi.org/10.1109/ASPDAC.2016.7428024.2016, – reference: Ishii, M. et al., “On-Chip Trainable 1.4M 6T2R PCM synaptic array with 1.6K Stochastic LIF neurons for spiking RBM,” Technical Digest - International Electron Devices Meeting, IEDM, 2019- 310–313, 2019, https://doi.org/10.1109/IEDM19573.2019.8993466. – reference: PérezE“Advanced temperature dependent statistical analysis of forming voltage distributions for three different HfO2-based RRAM technologies,”Solid State Electron.202117610796110.1016/j.sse.2021.107961 – reference: Kingma, D. P. and Ba, J. L. “Adam: A Method for Stochastic Optimization,” 3rd International Conference on Learning Representations, ICLR 2015 - Conference Track Proceedings, 2014, https://doi.org/10.48550/arxiv.1412.6980. – reference: KullL“A 3.1 mW 8b 1.2 GS/s single-Channel asynchronous SAR ADC with alternate comparators for enhanced speed in 32 nm digital SOI CMOS,”IEEE J. Solid-State Circuits201348304930582013IJSSC..48.3049K10.1109/JSSC.2013.2279571 – reference: DengLEnergy consumption analysis for various memristive networks under different learning strategies,”Phys. Lett. Sect. A: Gen. At. Solid State Phys.20163809039091:CAS:528:DC%2BC28XitFeksA%3D%3D10.1016/j.physleta.2015.12.024 – reference: KimS“Analog synaptic behavior of a silicon nitride memristor,”ACS Appl Mater. Interfaces2017940420404271:CAS:528:DC%2BC2sXhsleiurfE2908655110.1021/acsami.7b11191 – reference: Barnell, M., Raymond, C., Wilson, M., Isereau, D. and Cicotta, C. “Target classification in synthetic aperture radar and optical imagery using loihi neuromorphic hardware,” in 2020 IEEE High Performance Extreme Computing Conference (HPEC), IEEE, 1–6. https://doi.org/10.1109/HPEC43674.2020.9286246.2020, – reference: Xue, C.-X., “15.4 A 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit MAC Computing for Tiny AI Edge Devices,” in 2020 IEEE International Solid- State Circuits Conference - (ISSCC), IEEE, 2020, 244–246. – reference: HuangH-MWangZWangTXiaoYGuoX“Artificial neural networks based on memristive devices: from device to system,”Adv. Intell. Syst.20202200014910.1002/aisy.202000149 – reference: PriceMGlassJChandrakasanAP“A scalable speech recognizer with deep-neural-network acoustic models and voice-activated power gating,”Dig. Tech. Pap. IEEE Int Solid State Circuits Conf.201760244245 – reference: SeoS“Artificial optic-neural synapse for colored and color-mixed pattern recognition,”Nat. Commun.20189182018NatCo...9....1M10.1038/s41467-018-07572-5 – reference: European Commission, Harnessing the economic benefits of Artificial Intelligence. Digital Transformation Monitor, no. November, 8, 2017. – reference: Joshi, V. et al., “Accurate deep neural network inference using computational phase-change memory,” Nat Commun, 11, https://doi.org/10.1038/s41467-020-16108-9.2020, – reference: AnkitA“PANTHER: A Programmable Architecture for Neural Network Training Harnessing Energy-Efficient ReRAM,”IEEE Trans. Comput.2020691128114210.1109/TC.2020.2998456 – reference: Applied Materials, “GinestraTM.” [Online]. Available: http://www.appliedmaterials.com/mdlx – reference: Orchard, G. et al., “Efficient Neuromorphic Signal Processing with Loihi 2,” IEEE Workshop on Signal Processing Systems, SiPS: Design and Implementation, 2021-October, 254–259, https://doi.org/10.1109/SIPS52927.2021.00053.2021, – reference: OhS“Energy-efficient Mott activation neuron for full-hardware implementation of neural networks,”Nat. Nanotechnol.2021166806872021NatNa..16..680O1:CAS:528:DC%2BB3MXmvVynsbg%3D33737724862768610.1038/s41565-021-00874-8 – reference: Chi, P. et al., “PRIME: A novel processing-in-memory architecture for neural network computation in ReRAM-based main memory,” Proceedings - 2016 43rd International Symposium on Computer Architecture, ISCA 2016, 27–39, https://doi.org/10.1109/ISCA.2016.13.2016, – reference: Kennedy, J. and Eberhart, R. “Particle swarm optimization,” Proceedings of ICNN’95 - International Conference on Neural Networks, 4, https://doi.org/10.1109/ICNN.1995.488968.1942–1948, – reference: GoldbergDEHollandJH“Genetic Algorithms and machine learningMach. Learn.19883959910.1023/A:1022602019183 – reference: Hung, J.-M., “An 8-Mb DC-Current-Free Binary-to-8b Precision ReRAM Nonvolatile Computing-in-Memory Macro using Time-Space-Readout with 1286.4-21.6TOPS/W for Edge-AI Devices,” in 2022 IEEE International Solid- State Circuits Conference (ISSCC), IEEE, 1–3. https://doi.org/10.1109/ISSCC42614.2022.9731715.2022, – reference: LiH“Memristive crossbar arrays for storage and computing applications,”Adv. Intell. Syst.20213210001710.1002/aisy.202100017 – reference: Milo, V. et al., “Multilevel HfO2-based RRAM devices for low-power neuromorphic networks,” APL Mater, 7, https://doi.org/10.1063/1.5108650.2019, – reference: Ma, X. et al., “Tiny but Accurate: A Pruned, Quantized and Optimized Memristor Crossbar Framework for Ultra Efficient DNN Implementation,” Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2020-Janua, 301–306, https://doi.org/10.1109/ASP-DAC47756.2020.9045658.2020, – reference: PreziosoM“Spike-timing-dependent plasticity learning of coincidence detection with passively integrated memristive circuits,”Nat. Commun.201891810.1038/s41467-018-07757-y – reference: XiaL“MNSIM: Simulation platform for memristor-based neuromorphic computing system,”IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.20183710091022 – reference: Imani, M. et al., “RAPIDNN: In-Memory Deep Neural Network Acceleration Framework,” 2018. – reference: Chen Y. et al., “DaDianNao: A Machine-Learning Supercomputer,” Proceedings of the Annual International Symposium on Microarchitecture, MICRO, 2015-January, no. January, 609–622, https://doi.org/10.1109/MICRO.2014.58.2015, – reference: Lammie, C., Xiang, W., Linares-Barranco, B. and Azghadi, M. R. “MemTorch: An Open-source Simulation Framework for Memristive Deep Learning Systems,” 1–14, 2020. – reference: MenzelS“Origin of the ultra-nonlinear switching kinetics in oxide-based resistive switches,”Adv. Funct. Mater.201121448744921:CAS:528:DC%2BC3MXht1Wrs73E10.1002/adfm.201101117 – reference: Yan, Z., Juan, D.-C., Hu, X. S. and Shi, Y. “Uncertainty modeling of emerging device based computing-in-memory neural accelerators with application to neural architecture search,” in Proceedings of the 26th Asia and South Pacific Design Automation Conference, New York, NY, USA: ACM, 859–864. https://doi.org/10.1145/3394885.3431635.2021, – reference: Romero-ZalizRPérezEJiménez-MolinosFWengerCRoldánJB“Study of quantized hardware deep neural networks based on resistive switching devices, conventional versus convolutional approaches,”Electronics20211011410.3390/electronics10030346 – reference: YuSWuYJeyasinghRKuzumDWongHSP“An electronic synapse device based on metal oxide resistive switching memory for neuromorphic computation,”IEEE Trans. Electron Dev.201158272927372011ITED...58.2729Y1:CAS:528:DC%2BC3MXhtV2jsLrM10.1109/TED.2011.2147791 – reference: HuM“Memristor crossbar-based neuromorphic computing system: A case study,”IEEE Trans. Neural Netw. Learn Syst.201425186418782529173910.1109/TNNLS.2013.2296777 – reference: McKee, S. A., Reflections on the memory wall in 2004 Computing Frontiers Conference, 162–167. https://doi.org/10.1145/977091.977115 2004. – reference: FeiWYuHZhangWYeoKS“Design exploration of hybrid CMOS and memristor circuit by new modified nodal analysis,”IEEE Trans. Very Large Scale Integr. VLSI Syst.2012201012102510.1109/TVLSI.2011.2136443 – reference: Fujiki, D., Mahlke, S. and Das, R. “In-memory data parallel processor,” in ACM SIGPLAN Notices, New York, NY, USA: ACM, 1–14. https://doi.org/10.1145/3173162.3173171.2018, – reference: Spreizer, S. et al., “NEST 3.3,” Mar. 2022, https://doi.org/10.5281/ZENODO.6368024. – reference: “Microchips that mimic the human brain could make AI far more energy efficient | Science | AAAS.” Accessed: May 15, 2023. [Online]. Available: https://www.science.org/content/article/microchips-mimic-human-brain-could-make-ai-far-more-energy-efficient – reference: Abadi, M. et al., “TensorFlow: Large-Scale Machine Learning on Heterogeneous Systems,” 2015. – reference: M. Y. Lin et al., “DL-RSIM: A simulation framework to enable reliable ReRAM-based accelerators for deep learning,” IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, https://doi.org/10.1145/3240765.3240800.2018, – reference: Le GalloM“Mixed-precision in-memory computing,”Nat. Electron.2018124625310.1038/s41928-018-0054-8 – reference: ChoiSSheridanPLuWD“Data clustering using memristor networks,”Sci. Rep.20155110 – reference: Wang, X., Wu, Y. and Lu, W. D. “RRAM-enabled AI Accelerator Architecture,” in 2021 IEEE International Electron Devices Meeting (IEDM), IEEE, 12.2.1-12.2.4. https://doi.org/10.1109/IEDM19574.2021.9720543.2021, – reference: TalpesECompute solution for Tesla’s full self-driving computerIEEE Micro202040253510.1109/MM.2020.2975764 – reference: Davies, M. et al., “Advancing neuromorphic computing with Loihi: A survey of results and outlook,” Proceedings of the IEEE, 109, 911–934,https://doi.org/10.1109/JPROC.2021.3067593.2021, – reference: Simonyan, K. and Zisserman, A. “Very deep convolutional networks for large-scale image recognition,” 2014. – reference: Buchel, J. et al., “Gradient descent-based programming of analog in-memory computing cores,” Technical Digest - International Electron Devices Meeting, IEDM, 3311–3314, 2022, https://doi.org/10.1109/IEDM45625.2022.10019486.2022, – reference: BBVA, Biometrics and machine learning: the accurate, secure way to access your bank Accessed: Jan. 21, 2024. [Online]. Available: https://www.bbva.com/en/biometrics-and-machine-learning-the-accurate-secure-way-to-access-your-bank/ – reference: GuanXYuSWongHSP“A SPICE compact model of metal oxide resistive switching memory with variations,”IEEE Electron. Device Lett.201233140514072012IEDL...33.1405G1:CAS:528:DC%2BC38Xhs1Gis7vF10.1109/LED.2012.2210856 – reference: Liu, C., Hu, M., Strachan, J. P. and Li, H. H. “Rescuing memristor-based neuromorphic design with high defects,” in 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC), Institute of Electrical and Electronics Engineers Inc., https://doi.org/10.1145/3061639.3062310.2017. – reference: NagA“Newton: Gravitating towards the physical limits of crossbar acceleration,”IEEE Micro201838414910.1109/MM.2018.053631140 – reference: Han J. and Orshansky, M. “Approximate computing: An emerging paradigm for energy-efficient design,” in 2013 18th IEEE European Test Symposium (ETS), IEEE, 1–6. https://doi.org/10.1109/ETS.2013.6569370.2013, – reference: KirkpatrickSGelattCDVecchiMP“Optimization by simulated annealing,”Science19832206716801983Sci...220..671K7024851:STN:280:DC%2BC3cvktFWjtw%3D%3D1781386010.1126/science.220.4598.671 – reference: Murmann, B. “ADC Performance Survey 1997-2022.” Accessed: Sep. 05, 2022. [Online]. Available: http://web.stanford.edu/~murmann/adcsurvey.html. – reference: Li, C. et al., “CMOS-integrated nanoscale memristive crossbars for CNN and optimization acceleration,” 2020 IEEE International Memory Workshop, IMW 2020 - Proceedings, https://doi.org/10.1109/IMW48823.2020.9108112.2020, – reference: Bocquet, M. et al., “In-memory and error-immune differential RRAM implementation of binarized deep neural networks,” Technical Digest - International Electron Devices Meeting, IEDM, 20.6.1-20.6.4, Jan. 2019, https://doi.org/10.1109/IEDM.2018.8614639.2018, – reference: ParkSM“Improvement of conductance modulation linearity in a Cu2+-Doped KNbO3 memristor through the increase of the number of oxygen vacancies,”ACS Appl. Mater. Interfaces202012106910771:CAS:528:DC%2BC1MXitlerurrL3182062510.1021/acsami.9b18794 – reference: VermaN“In-memory computing: advances and prospects,”IEEE Solid-State Circuits Mag.201911435510.1109/MSSC.2019.2922889 – reference: Viale, A., Marchisio, A., Martina, M., Masera, G., and Shafique, M. “CarSNN: An efficient spiking neural network for event-based autonomous cars on the Loihi Neuromorphic Research Processor,” 2021. – reference: AlibartFZamanidoostEStrukovDB“Pattern classification by memristive crossbar circuits using ex situ and in situ training,”Nat. Commun.201341710.1038/ncomms3072 – reference: YinSSunXYuSSeoJS“High-throughput in-memory computing for binary deep neural networks with monolithically integrated RRAM and 90-nm CMOS,”IEEE Trans. Electron. Dev.202067418541922020ITED...67.4185Y10.1109/TED.2020.3015178 – reference: PeiJ“Towards artificial general intelligence with hybrid Tianjic chip architecture,”Nature20195721061112019Natur.572..106P1:CAS:528:DC%2BC1MXhsFShu7bF3136702810.1038/s41586-019-1424-8 – reference: KrestinskayaOSalamaKNJamesAP“Automating analogue AI chip design with genetic search,”Adv. Intell. Syst.20202200007510.1002/aisy.202000075 – reference: MerollaPA“A million spiking-neuron integrated circuit with a scalable communication network and interface,”Science20143456686732014Sci...345..668M1:CAS:528:DC%2BC2cXht12gtLbN2510438510.1126/science.1254642 – reference: Cai, F. et al., “A fully integrated reprogrammable memristor-CMOS system for efficient multiply-accumulate operations,” Nat Electron, 2, no. July, 290–299, [Online]. Available: https://doi.org/10.1038/s41928-019-0270-x 2019. – reference: Khaddam-Aljameh, R. et al., “HERMES Core: A 14nm CMOS and PCM-based In-Memory Compute Core using an array of 300ps/LSB Linearized CCO-based ADCs and local digital processing,” in 2021 Symposium on VLSI Technology, Kyoto, Japan: IEEE, 978–982. Accessed: Jan. 21, 2024. [Online]. Available: https://ieeexplore.ieee.org/document/9508706 – reference: “Innatera Unveils Neuromorphic AI Chip to Accelerate Spiking Networks - EE Times.” Accessed: May 15, 2023. [Online]. Available: https://www.eetimes.com/innatera-unveils-neuromorphic-ai-chip-to-accelerate-spiking-networks/ – reference: AndreouAG“Current-mode subthreshold MOS circuits for analog VLSI neural systems,”IEEE Trans. Neural Netw.199122052131991ITNN....2..205A1:STN:280:DC%2BD1c7hslOktg%3D%3D1827637310.1109/72.80331 – reference: FoudaMELeeSLeeJEltawilAKurdahiF“Mask technique for fast and efficient training of binary resistive crossbar arrays,”IEEE Trans. Nanotechnol.2019187047162019ITNan..18..704F1:CAS:528:DC%2BC1MXhsl2ntbrI10.1109/TNANO.2019.2927493 – reference: Meador, J. L. and Hylander, P. D. “Pulse Coded Winner-Take-All Networks,” Silicon Implementation of Pulse Coded Neural Networks, 79–99, https://doi.org/10.1007/978-1-4615-2680-3_5.1994, – reference: PanW-Q“Strategies to improve the accuracy of memristor-based convolutional neural networks,”Trans. Electron. Dev.,2020678959012020ITED...67..895P1:CAS:528:DC%2BB3cXhvVCgs73J10.1109/TED.2019.2963323 – reference: KhwaWS“A 65nm 4Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3ns and 55.8TOPS/W fully parallel product-sum operation for binary DNN edge processors,”Dig. Tech. Pap. IEEE Int. Solid State Circuits Conf.201861496498 – reference: Paszke A. et al., “Automatic differentiation in PyTorch”. – reference: Strukov, D. B., Snider, G. S., Stewart, D. R. and Williams, R. S. “The missing memristor found,” Nature, 453, 80–83, https://doi.org/10.1038/nature06932. – reference: Goux, L. et al., “Ultralow sub-500nA operating current high-performance TiN\Al 2O 3\HfO 2\Hf\TiN bipolar RRAM achieved through understanding-based stack-engineering,” Digest of Technical Papers - Symposium on VLSI Technology, 159–160, https://doi.org/10.1109/VLSIT.2012.6242510 2012 – reference: YaoP“Fully hardware-implemented memristor convolutional neural network,”Nature20205776416462020Natur.577..641Y1:CAS:528:DC%2BB3cXktFegt74%3D3199681810.1038/s41586-020-1942-4 – reference: Li, G., Mandal, S. K., Ogras, U. Y. and Marculescu, R. “FLASH: Fast neural architecture search with hardware optimization,” ACM Trans. Embed. Compu. Syst., 20, https://doi.org/10.1145/3476994.2021, – reference: Rasch, M. J. et al., “Hardware-aware training for large-scale and diverse deep learning inference workloads using in-memory computing-based accelerators,” 2023. – reference: Chen, W. H. et al., “A 16Mb dual-mode ReRAM macro with sub-14ns computing-in-memory and memory functions enabled by self-write termination scheme,” Technical Digest - International Electron Devices Meeting, IEDM, 28.2.1-28.2.4, 2018, – reference: Guan, Z. et al., “A hardware-aware neural architecture search pareto front exploration for in-memory computing,” in 2022 IEEE 16th International Conference on Solid-State & Integrated Circuit Technology (ICSICT), IEEE, 1–4. https://doi.org/10.1109/ICSICT55466.2022.9963263.2022, – reference: MurmannB“Mixed-signal computing for deep neural network inference,”IEEE Trans. Very Large Scale Integr. VLSI Syst.20212931310.1109/TVLSI.2020.3020286 – reference: PorembaMZhangTXieY“NVMain 2.0: A user-friendly memory simulator to model (non-)volatile memory systems,”IEEE Comput. Archit. Lett.20151414014310.1109/LCA.2015.2402435 – reference: El-MasryEIYangHKYakoutMA“Implementations of artificial neural networks using current-mode pulse width modulation technique,”IEEE Trans. Neural Netw.199785325481:STN:280:DC%2BD1c%2FpvVejsw%3D%3D1825565710.1109/72.572093 – reference: Pérez-Bosch QuesadaE“Toward reliable compact modeling of multilevel 1T-1R RRAM devices for neuromorphic systems,”Electronics20211064510.3390/electronics10060645 – reference: LiYAngK-W“Hardware implementation of neuromorphic computing using large-scale memristor crossbar arrays,”Adv. Intell. Syst.20213200013710.1002/aisy.202000137 – reference: NarayananP“Fully on-chip MAC at 14 nm enabled by accurate row-wise programming of PCM-based weights and parallel vector-transport in duration-format,”IEEE Trans. Electron Dev.202168662966362021ITED...68.6629N10.1109/TED.2021.3115993 – reference: Fick, L., Skrzyniarz, S., Parikh, M., Henry, M. B. and Fick, D. “Analog matrix processor for edge AI real-time video analytics,” Dig. Tech. Pap. IEEE Int. Solid State Circuits Conf, 2022- 260–262, https://doi.org/10.1109/ISSCC42614.2022.9731773.2022, – reference: Tan, X., Qin, T., F. Soong, and T.-Y. Liu, “A survey on neural speech synthesis,” https://doi.org/10.48550/arxiv.2106.15561 2021. – reference: Xiao, T. P., Bennett, C. H., Feinberg, B., Marinella, M. J. and Agarwal, S. “CrossSim: accuracy simulation of analog in-memory computing,” https://github.com/sandialabs/cross-sim. Accessed: Sep. 06, 2022. [Online]. Available: https://github.com/sandialabs/cross-sim – reference: LeeJ“UNPU: An energy-efficient deep neural network accelerator with fully variable weight bit precision,”IEEE J. Solid-State Circuits2019541731852019IJSSC..54..173L10.1109/JSSC.2018.2865489 – reference: FletcherR“Function minimization by conjugate gradients,”Comput. J.1964714915418737510.1093/comjnl/7.2.149 – reference: ZoppoGMarroneFCorintoF“Equilibrium propagation for memristor-based recurrent neural networks,”Front Neurosci.2020141810.3389/fnins.2020.00240 – reference: FishAMilrudVYadid-PechtO“High-speed and high-precision current winner-take-all circuit,”IEEE Trans. Circuits Syst. II: Express Briefs200552131135 – reference: Le Gallo, M. et al., “A 64-core mixed-signal in-memory compute chip based on phase-change memory for deep neural network inference,” 2022, Accessed: May 09, 2023. [Online]. Available: https://arxiv.org/abs/2212.02872v1 – reference: XiaL“Stuck-at Fault Tolerance in RRAM Computing Systems,”IEEE J. Emerg. Sel. Top. Circuits Syst.,201881021152018IJEST...8..102X10.1109/JETCAS.2017.2776980 – volume: 12 start-page: 1 year: 2021 ident: 45670_CR93 publication-title: Nat. Commun. doi: 10.1038/s41467-021-25455-0 – ident: 45670_CR7 – volume: 8 start-page: 102 year: 2018 ident: 45670_CR112 publication-title: IEEE J. Emerg. Sel. Top. Circuits Syst., doi: 10.1109/JETCAS.2017.2776980 – ident: 45670_CR231 doi: 10.1109/ISLPED.2019.8824944 – volume: 48 start-page: 3049 year: 2013 ident: 45670_CR164 publication-title: IEEE J. Solid-State Circuits doi: 10.1109/JSSC.2013.2279571 – volume: 12 start-page: 89 year: 2018 ident: 45670_CR227 publication-title: Front Neuroinform doi: 10.3389/fninf.2018.00089 – volume: 17 start-page: 539 year: 1999 ident: 45670_CR151 publication-title: IEEE J. Sel. Areas Commun. doi: 10.1109/49.761034 – volume: 21 start-page: 4487 year: 2011 ident: 45670_CR202 publication-title: Adv. Funct. Mater. doi: 10.1002/adfm.201101117 – volume: 29 start-page: 1237 year: 1993 ident: 45670_CR145 publication-title: Electron Lett. doi: 10.1049/el:19930827 – ident: 45670_CR234 doi: 10.1007/978-1-4615-4515-6 – volume: 11 start-page: 48029 year: 2019 ident: 45670_CR62 publication-title: ACS Appl Mater. Interfaces doi: 10.1021/acsami.9b17160 – ident: 45670_CR113 doi: 10.1109/IMW48823.2020.9108112 – volume: 9 start-page: 1 year: 2013 ident: 45670_CR271 publication-title: ACM J. Emerg. Technol. Comput. Syst. doi: 10.1145/2422094.2422103 – volume: 29 start-page: 4782 year: 2018 ident: 45670_CR81 publication-title: IEEE Trans. Neural Netw. Learn Syst. doi: 10.1109/TNNLS.2017.2778940 – ident: 45670_CR19 doi: 10.1145/3079856.3080246 – volume: 12 start-page: 2121 year: 2011 ident: 45670_CR182 publication-title: J. Mach. Learn. Res. – volume: 176 start-page: 107961 year: 2021 ident: 45670_CR110 publication-title: Solid State Electron. doi: 10.1016/j.sse.2021.107961 – ident: 45670_CR203 doi: 10.1142/S0218127412500708 – ident: 45670_CR273 doi: 10.1109/ISSCC.2019.8662395 – ident: 45670_CR92 doi: 10.1109/IEDM19573.2019.8993641 – ident: 45670_CR154 doi: 10.1145/3297858.3304049 – ident: 45670_CR25 doi: 10.1038/s41565-020-0655-z – volume: 21 start-page: 2632 year: 2009 ident: 45670_CR209 publication-title: Adv. Mater. doi: 10.1002/adma.200900375 – ident: 45670_CR48 doi: 10.1109/IEDM45625.2022.10019450 – volume: 69 start-page: 1128 year: 2020 ident: 45670_CR98 publication-title: IEEE Trans. Comput. doi: 10.1109/TC.2020.2998456 – ident: 45670_CR277 – volume: 577 start-page: 641 year: 2020 ident: 45670_CR55 publication-title: Nature doi: 10.1038/s41586-020-1942-4 – ident: 45670_CR247 doi: 10.1109/ISQED.2018.8357318 – volume: 68 start-page: 4379 year: 2021 ident: 45670_CR115 publication-title: IEEE Trans. Electron. Dev. doi: 10.1109/TED.2021.3095430 – ident: 45670_CR27 doi: 10.1109/SIPS52927.2021.00053 – volume: 53 start-page: 983 year: 2018 ident: 45670_CR128 publication-title: IEEE J. Solid-State Circuits doi: 10.1109/JSSC.2017.2778702 – ident: 45670_CR1 – ident: 45670_CR45 – ident: 45670_CR14 doi: 10.1109/CVPRW.2011.5981829 – volume: 57 start-page: 2868 year: 2022 ident: 45670_CR214 publication-title: IEEE J. Solid-State Circuits doi: 10.1109/JSSC.2022.3163197 – ident: 45670_CR196 doi: 10.1063/1.5108650 – ident: 45670_CR108 doi: 10.1145/3061639.3062310 – ident: 45670_CR190 doi: 10.1038/s41467-023-40770-4 – ident: 45670_CR228 doi: 10.1145/3240765.3240800 – ident: 45670_CR286 doi: 10.1109/ISCAS45731.2020.9180810 – volume: 521 start-page: 61 year: 2015 ident: 45670_CR105 publication-title: Nature doi: 10.1038/nature14441 – ident: 45670_CR278 – ident: 45670_CR16 doi: 10.1145/1815961.1815993 – ident: 45670_CR35 doi: 10.1038/s41467-018-07565-4 – volume: 60 start-page: 244 year: 2017 ident: 45670_CR129 publication-title: Dig. Tech. Pap. IEEE Int Solid State Circuits Conf. – volume: 63 start-page: 6 year: 2019 ident: 45670_CR97 publication-title: IBM J. Res Dev. doi: 10.1147/JRD.2019.2947011 – volume: 7 start-page: 35264 year: 2019 ident: 45670_CR4 publication-title: IEEE Access doi: 10.1109/ACCESS.2019.2903876 – volume: 83 start-page: 1 year: 2014 ident: 45670_CR212 publication-title: Mater. Sci. Eng. R: Rep. doi: 10.1016/j.mser.2014.06.002 – volume: 7 start-page: 011312 year: 2020 ident: 45670_CR205 publication-title: Appl Phys. Rev. doi: 10.1063/1.5118217 – volume: 4 start-page: 141 year: 1992 ident: 45670_CR178 publication-title: Neural Comput. doi: 10.1162/neco.1992.4.2.141 – ident: 45670_CR197 doi: 10.1109/IEDM.2015.7409718 – volume: 604 start-page: 255 year: 2022 ident: 45670_CR10 publication-title: Nature doi: 10.1038/s41586-021-04362-w – volume: 31 start-page: 1051 year: 1995 ident: 45670_CR136 publication-title: Electron. Lett. doi: 10.1049/el:19950729 – volume: 33 start-page: 1405 year: 2012 ident: 45670_CR270 publication-title: IEEE Electron. Device Lett. doi: 10.1109/LED.2012.2210856 – volume: 345 start-page: 668 year: 2014 ident: 45670_CR34 publication-title: Science doi: 10.1126/science.1254642 – ident: 45670_CR63 doi: 10.3390/MI11040427 – volume: 67 start-page: 895 year: 2020 ident: 45670_CR66 publication-title: Trans. Electron. Dev., doi: 10.1109/TED.2019.2963323 – ident: 45670_CR23 doi: 10.1109/ISSCC42614.2022.9731773 – ident: 45670_CR179 doi: 10.1007/978-3-642-35289-8_25/COVER – volume: 10 start-page: 645 year: 2021 ident: 45670_CR111 publication-title: Electronics doi: 10.3390/electronics10060645 – volume: 51 start-page: 240 year: 2016 ident: 45670_CR152 publication-title: IEEE J. Solid-State Circuits doi: 10.1109/JSSC.2015.2487270 – ident: 45670_CR2 doi: 10.1109/THS.2018.8574173 – volume: 45 start-page: 300 year: 1998 ident: 45670_CR146 publication-title: IEEE Trans. Circuits Syst. I: Fundam. Theory Appl. doi: 10.1109/81.662705 – volume: 12 start-page: 1 year: 2021 ident: 45670_CR69 publication-title: Nat. Commun. doi: 10.1038/s41467-020-20314-w – ident: 45670_CR130 doi: 10.23919/VLSIC.2017.8008534 – ident: 45670_CR29 doi: 10.1109/JPROC.2021.3067593 – volume: 8 start-page: 1 year: 2017 ident: 45670_CR40 publication-title: Nat. Commun. 2017 8:1 – ident: 45670_CR241 doi: 10.1145/3386263.3407647 – volume: 9 start-page: 1 year: 2018 ident: 45670_CR67 publication-title: Nat. Commun. doi: 10.1038/s41467-018-07572-5 – volume: 25 start-page: 1864 year: 2014 ident: 45670_CR106 publication-title: IEEE Trans. Neural Netw. Learn Syst. doi: 10.1109/TNNLS.2013.2296777 – volume: 21 start-page: 199 year: 2020 ident: 45670_CR8 publication-title: Sens. 2021, Vol. 21, Page 199, – ident: 45670_CR53 doi: 10.1109/IEDM19573.2019.8993466 – ident: 45670_CR107 doi: 10.1145/2897937.2898010 – volume: 30 start-page: 2 year: 2020 ident: 45670_CR186 publication-title: Adv. Funct. Mater. doi: 10.1002/adfm.201909645 – volume: 7 start-page: 1 year: 2017 ident: 45670_CR47 publication-title: Sci. Rep. doi: 10.1038/s41598-017-17785-1 – volume: 10 start-page: 1 year: 2020 ident: 45670_CR126 publication-title: Sci. Rep. 2020 10:1 – ident: 45670_CR285 doi: 10.1017/CBO9780511541612 – volume: 38 start-page: 41 year: 2018 ident: 45670_CR282 publication-title: IEEE Micro doi: 10.1109/MM.2018.053631140 – volume: 52 start-page: 131 year: 2005 ident: 45670_CR148 publication-title: IEEE Trans. Circuits Syst. II: Express Briefs – volume: 55 start-page: 1733 year: 2020 ident: 45670_CR75 publication-title: IEEE J. Solid-State Circuits – ident: 45670_CR150 – ident: 45670_CR88 doi: 10.1109/CVPR.2009.5206848 – volume: 18 start-page: 1 year: 2022 ident: 45670_CR244 publication-title: ACM J. Emerg. Technol. Comput. Syst. doi: 10.1145/3485824 – ident: 45670_CR46 – volume: 10 start-page: 1 year: 2021 ident: 45670_CR109 publication-title: Electronics doi: 10.3390/electronics10030346 – volume: 13 start-page: 1 year: 2019 ident: 45670_CR288 publication-title: Front. Neurosci. doi: 10.3389/fnins.2019.00593 – ident: 45670_CR246 doi: 10.1109/HPCA.2017.55 – volume: 62 start-page: 3498 year: 2015 ident: 45670_CR267 publication-title: IEEE Trans. Electron Devices doi: 10.1109/TED.2015.2439635 – ident: 45670_CR279 doi: 10.1109/MICRO.2014.58 – ident: 45670_CR56 doi: 10.1109/VLSITechnologyandCir46769.2022.9830490 – volume: 1 start-page: 014001 year: 2021 ident: 45670_CR83 publication-title: Neuromorph. Comput. Eng. doi: 10.1088/2634-4386/ac0775 – volume: 608 start-page: 504 year: 2022 ident: 45670_CR60 publication-title: Nature doi: 10.1038/s41586-022-04992-8 – volume: 66 start-page: 2937 year: 2019 ident: 45670_CR85 publication-title: IEEE Trans. Electron Devices doi: 10.1109/TED.2019.2914460 – volume: 33 start-page: 1 year: 2021 ident: 45670_CR65 publication-title: Adv. Mater. – volume: 54 start-page: 217 year: 2019 ident: 45670_CR76 publication-title: IEEE J. Solid-State Circuits doi: 10.1109/JSSC.2018.2880918 – ident: 45670_CR50 doi: 10.1109/VLSIT.2012.6242510 – volume: 67 start-page: 4185 year: 2020 ident: 45670_CR61 publication-title: IEEE Trans. Electron. Dev. doi: 10.1109/TED.2020.3015178 – ident: 45670_CR87 – volume: 11 start-page: 43 year: 2019 ident: 45670_CR79 publication-title: IEEE Solid-State Circuits Mag. doi: 10.1109/MSSC.2019.2922889 – volume: 31 start-page: 994 year: 2012 ident: 45670_CR245 publication-title: IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. doi: 10.1109/TCAD.2012.2185930 – ident: 45670_CR184 doi: 10.48550/arxiv.1412.6980 – volume: 572 start-page: 106 year: 2019 ident: 45670_CR33 publication-title: Nature doi: 10.1038/s41586-019-1424-8 – volume: 380 start-page: 903 year: 2016 ident: 45670_CR37 publication-title: Phys. Lett. Sect. A: Gen. At. Solid State Phys. doi: 10.1016/j.physleta.2015.12.024 – ident: 45670_CR210 – ident: 45670_CR43 doi: 10.1038/nature06932 – ident: 45670_CR59 doi: 10.1109/ISSCC19947.2020.9063078 – ident: 45670_CR30 doi: 10.1109/HPEC43674.2020.9286246 – volume: 68 start-page: 6629 year: 2021 ident: 45670_CR72 publication-title: IEEE Trans. Electron Dev. doi: 10.1109/TED.2021.3115993 – volume: 6 start-page: 525 year: 1993 ident: 45670_CR173 publication-title: Neural Netw. doi: 10.1016/S0893-6080(05)80056-5 – ident: 45670_CR274 doi: 10.1109/ISSCC.2019.8662402 – volume: 12 start-page: 1069 year: 2020 ident: 45670_CR207 publication-title: ACS Appl. Mater. Interfaces doi: 10.1021/acsami.9b18794 – ident: 45670_CR99 doi: 10.1109/VLSIT.2018.8510676 – volume: 12471 start-page: 152 year: 2020 ident: 45670_CR236 publication-title: Lect. Notes Comput. Sci. (Subser. Lect. Notes Artif. Intell. Lect. Notes Bioinforma.) – ident: 45670_CR287 – ident: 45670_CR156 doi: 10.1109/IEDM19574.2021.9720543 – volume: 3 start-page: 2100017 year: 2021 ident: 45670_CR51 publication-title: Adv. Intell. Syst. doi: 10.1002/aisy.202100017 – ident: 45670_CR20 – volume: 32 start-page: 1740 year: 2021 ident: 45670_CR11 publication-title: IEEE Trans. Parallel Distrib. Syst. doi: 10.1109/TPDS.2020.3046870 – volume: 7 start-page: 4801 year: 2021 ident: 45670_CR102 publication-title: Sci. Adv. doi: 10.1126/sciadv.abj4801 – volume: 45 start-page: 772 year: 1998 ident: 45670_CR143 publication-title: IEEE Trans. Nucl. Sci. doi: 10.1109/23.682634 – ident: 45670_CR177 doi: 10.1109/icnn.1993.298623 – volume: 1 start-page: 246 year: 2018 ident: 45670_CR193 publication-title: Nat. Electron. doi: 10.1038/s41928-018-0054-8 – ident: 45670_CR215 doi: 10.1109/IEDM45625.2022.10019486 – ident: 45670_CR57 doi: 10.1038/s41928-019-0270-x – ident: 45670_CR86 – volume: 3 start-page: 225 year: 2020 ident: 45670_CR52 publication-title: Nat. Electron. doi: 10.1038/s41928-020-0397-9 – ident: 45670_CR144 doi: 10.1080/002072198134896 – ident: 45670_CR226 doi: 10.5281/ZENODO.6368024 – ident: 45670_CR22 doi: 10.1109/HPEC55821.2022.9926331 – ident: 45670_CR189 doi: 10.1038/s41467-020-16108-9 – volume: 11 start-page: 9 year: 2021 ident: 45670_CR250 publication-title: J. Low. Power Electron. Appl. doi: 10.3390/jlpea11010009 – ident: 45670_CR125 doi: 10.1109/ISCA.2016.13 – volume: 54 start-page: 173 year: 2019 ident: 45670_CR280 publication-title: IEEE J. Solid-State Circuits doi: 10.1109/JSSC.2018.2865489 – volume: 18 start-page: 9338 year: 2016 ident: 45670_CR201 publication-title: Phys. Chem. Chem. Phys. doi: 10.1039/C5CP07675G – ident: 45670_CR180 doi: 10.1145/2623330.2623612 – volume: 3 start-page: 371 year: 2020 ident: 45670_CR159 publication-title: Nat. Electron. 2020 3:7 – ident: 45670_CR218 doi: 10.1109/IEDM.2016.7838429 – ident: 45670_CR68 doi: 10.1088/1361-6528/ab3480 – volume: 12 start-page: 241 year: 1977 ident: 45670_CR174 publication-title: Math. Program. doi: 10.1007/BF01593790 – volume: 2 start-page: 2000075 year: 2020 ident: 45670_CR259 publication-title: Adv. Intell. Syst. doi: 10.1002/aisy.202000075 – ident: 45670_CR232 doi: 10.48550/arxiv.2104.02184 – volume: 2 start-page: 205 year: 1991 ident: 45670_CR133 publication-title: IEEE Trans. Neural Netw. doi: 10.1109/72.80331 – ident: 45670_CR183 – ident: 45670_CR290 doi: 10.1007/978-1-4614-0812-3_4/TABLES/4 – volume: 37 start-page: 1009 year: 2018 ident: 45670_CR240 publication-title: IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. – volume: 323 start-page: 533 year: 1986 ident: 45670_CR171 publication-title: Nature doi: 10.1038/323533a0 – ident: 45670_CR6 doi: 10.48550/arxiv.2106.15561 – ident: 45670_CR134 doi: 10.1109/MWSCAS.1993.342935 – volume: 9 start-page: 9275 year: 2017 ident: 45670_CR200 publication-title: Nanoscale doi: 10.1039/C7NR03106H – ident: 45670_CR117 doi: 10.1007/978-3-319-39624-8 – volume: 2 start-page: 2000149 year: 2020 ident: 45670_CR191 publication-title: Adv. Intell. Syst. doi: 10.1002/aisy.202000149 – ident: 45670_CR160 doi: 10.1109/ISCA.2016.12 – ident: 45670_CR192 doi: 10.3389/fnins.2020.00406 – volume: 93 start-page: 363 year: 2017 ident: 45670_CR162 publication-title: Analog. Integr. Circuits Signal Process doi: 10.1007/s10470-017-1029-9 – volume: 124 start-page: 15 year: 2018 ident: 45670_CR36 publication-title: J. Appl. Phys. doi: 10.1063/1.5037835 – ident: 45670_CR120 doi: 10.1007/978-3-030-90582-8 – ident: 45670_CR181 doi: 10.1109/WISP.2015.7139171 – volume: 10 start-page: 2427 year: 2021 ident: 45670_CR255 publication-title: Electron. doi: 10.3390/electronics10192427 – ident: 45670_CR94 – ident: 45670_CR261 doi: 10.1109/ICSICT55466.2022.9963263 – ident: 45670_CR195 doi: 10.1109/ISCAS.2011.5937569 – volume: 18 start-page: 704 year: 2019 ident: 45670_CR104 publication-title: IEEE Trans. Nanotechnol. doi: 10.1109/TNANO.2019.2927493 – ident: 45670_CR155 doi: 10.1109/ASPDAC.2016.7428024 – volume: 15 start-page: 1764 year: 2021 ident: 45670_CR70 publication-title: ACS Nano doi: 10.1021/acsnano.0c09441 – ident: 45670_CR140 doi: 10.1007/978-1-4615-2680-3_5 – volume: 9 start-page: 570 year: 2019 ident: 45670_CR229 publication-title: IEEE J. Emerg. Sel. Top. Circuits Syst. doi: 10.1109/JETCAS.2019.2933148 – ident: 45670_CR49 doi: 10.21203/RS.3.RS-1939455/V1 – ident: 45670_CR237 doi: 10.1109/CODESS.2003.1275250 – volume: 30 start-page: 352003 year: 2019 ident: 45670_CR208 publication-title: Nanotechnology doi: 10.1088/1361-6528/ab2084 – ident: 45670_CR257 – volume: 26 start-page: 5290 year: 2016 ident: 45670_CR42 publication-title: Adv. Funct. Mater. doi: 10.1002/adfm.201600680 – volume: 28 start-page: 576 year: 1993 ident: 45670_CR142 publication-title: IEEE J. Solid-State Circuits doi: 10.1109/4.229397 – ident: 45670_CR149 doi: 10.1007/978-3-662-47020-6 – volume: 60 start-page: 1318 year: 2013 ident: 45670_CR248 publication-title: IEEE Trans. Electron Devices doi: 10.1109/TED.2013.2246791 – ident: 45670_CR18 – volume: 37 start-page: 3067 year: 2018 ident: 45670_CR91 publication-title: IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst. doi: 10.1109/TCAD.2018.2789723 – volume: 61 start-page: 222 year: 2018 ident: 45670_CR281 publication-title: Dig. Tech. Pap. IEEE Int Solid State Circuits Conf. – volume: 3 start-page: 95 year: 1988 ident: 45670_CR169 publication-title: Mach. Learn. doi: 10.1023/A:1022602019183 – ident: 45670_CR276 doi: 10.1063/1.5143815 – volume: 22 start-page: 26 year: 2022 ident: 45670_CR157 publication-title: [Feature],” IEEE Circuits Syst. Mag. doi: 10.1109/MCAS.2022.3214409 – volume: 7 start-page: 149 year: 1964 ident: 45670_CR175 publication-title: Comput. J. doi: 10.1093/comjnl/7.2.149 – volume: 8 start-page: 1 year: 2020 ident: 45670_CR253 publication-title: IEEE Access doi: 10.1109/ACCESS.2020.3035638 – ident: 45670_CR5 doi: 10.3390/s22103862 – ident: 45670_CR24 – ident: 45670_CR101 doi: 10.1109/ETS.2013.6569370 – ident: 45670_CR26 doi: 10.1002/9781119507369 – volume: 1 start-page: 137 year: 2018 ident: 45670_CR116 publication-title: Nat. Electron. doi: 10.1038/s41928-018-0023-2 – volume: 8 start-page: 532 year: 1997 ident: 45670_CR141 publication-title: IEEE Trans. Neural Netw. doi: 10.1109/72.572093 – ident: 45670_CR58 doi: 10.1109/ISSCC42614.2022.9731715 – volume: 29 start-page: 908 year: 1993 ident: 45670_CR135 publication-title: Electron. Lett. doi: 10.1049/el:19930606 – ident: 45670_CR15 doi: 10.1145/2684746.2689060 – ident: 45670_CR124 doi: 10.1145/3061639.3062326 – ident: 45670_CR256 doi: 10.1109/ICECS46596.2019.8964856 – volume: 108 start-page: 2276 year: 2020 ident: 45670_CR96 publication-title: Proc. IEEE doi: 10.1109/JPROC.2020.3003007 – ident: 45670_CR165 – ident: 45670_CR238 doi: 10.1109/ISVLSI.2012.82 – volume: 70 start-page: 595 year: 2021 ident: 45670_CR266 publication-title: IEEE Trans. Comput. doi: 10.1109/TC.2020.2991575 – volume: 13 start-page: 1383 year: 2020 ident: 45670_CR272 publication-title: Front Neurosci. doi: 10.3389/fnins.2019.01383 – volume: 54 start-page: 1789 year: 2019 ident: 45670_CR77 publication-title: IEEE J. Solid-State Circuits doi: 10.1109/JSSC.2019.2899730 – volume: 5 start-page: 557 year: 1994 ident: 45670_CR139 publication-title: Proc. - IEEE Int. Symp. Circuits Syst. – volume: 11 start-page: 431 year: 1963 ident: 45670_CR176 publication-title: J. Soc. Ind. Appl. Math. doi: 10.1137/0111030 – ident: 45670_CR242 doi: 10.1145/3323439.3323989 – volume: 58 start-page: 1736 year: 2011 ident: 45670_CR163 publication-title: IEEE Trans. Circuits Syst. I: Regul. Pap. doi: 10.1109/TCSI.2011.2107214 – ident: 45670_CR221 doi: 10.1002/adma.201705914 – volume: 103 start-page: 1274 year: 2015 ident: 45670_CR211 publication-title: Proc. IEEE doi: 10.1109/JPROC.2015.2433311 – volume: 48 start-page: 198 year: 2001 ident: 45670_CR138 publication-title: IEEE Trans. Circuits Syst. II: Analog Digit. Signal Process. – volume: 10 start-page: 306 year: 2019 ident: 45670_CR251 publication-title: Micromachines doi: 10.3390/mi10050306 – volume: 14 start-page: 1 year: 2020 ident: 45670_CR187 publication-title: Front Neurosci. doi: 10.3389/fnins.2020.00240 – volume: 9 start-page: 1 year: 2018 ident: 45670_CR54 publication-title: Nat. Commun. doi: 10.1038/s41467-017-02088-w – volume: 13 start-page: 211 year: 1997 ident: 45670_CR147 publication-title: Analog Integr. Circuits Signal Process. 1997 13:1 doi: 10.1023/A:1008204616413 – ident: 45670_CR158 doi: 10.23919/DATE.2018.8342235 – ident: 45670_CR167 doi: 10.23919/VLSICircuits52068.2021.9492362 – volume: 2 start-page: 2000115 year: 2020 ident: 45670_CR95 publication-title: Adv. Intell. Syst. doi: 10.1002/AISY.202000115 – ident: 45670_CR258 – volume: 9 start-page: 40420 year: 2017 ident: 45670_CR213 publication-title: ACS Appl Mater. Interfaces doi: 10.1021/acsami.7b11191 – volume: 27 start-page: 988 year: 2019 ident: 45670_CR82 publication-title: IEEE Trans. Very Large Scale Integr. VLSI Syst. doi: 10.1109/TVLSI.2018.2882194 – ident: 45670_CR127 doi: 10.1515/NANOPH-2022-0137/ASSET/GRAPHIC/J_NANOPH-2022-0137_FIG_007.JPG – ident: 45670_CR291 doi: 10.1109/mwscas.1996.594211 – ident: 45670_CR17 doi: 10.1145/3061639.3062207 – volume: 1 start-page: 52 year: 2018 ident: 45670_CR222 publication-title: Nat. Electron doi: 10.1038/s41928-017-0002-z – ident: 45670_CR233 – volume: 8 start-page: 14015 year: 2016 ident: 45670_CR206 publication-title: Nanoscale doi: 10.1039/C6NR00476H – volume: 9 start-page: 1 year: 2018 ident: 45670_CR216 publication-title: Nat. Commun. doi: 10.1038/s41467-018-07757-y – volume: 27 start-page: 1702010 year: 2017 ident: 45670_CR41 publication-title: Adv. Funct. Mater. doi: 10.1002/adfm.201702010 – ident: 45670_CR172 doi: 10.1137/1.9781611971200 – ident: 45670_CR224 – ident: 45670_CR103 doi: 10.3389/fnins.2016.00333 – ident: 45670_CR220 doi: 10.1109/IEDM.2017.8268468 – ident: 45670_CR260 doi: 10.1109/ISCAS45731.2020.9180514/VIDEO – ident: 45670_CR265 doi: 10.23919/DATE54114.2022.9774605 – ident: 45670_CR185 doi: 10.48550/arxiv.1212.5701 – volume: 272 start-page: 677 year: 2018 ident: 45670_CR199 publication-title: Neurocomputing doi: 10.1016/j.neucom.2017.08.014 – ident: 45670_CR32 – ident: 45670_CR225 doi: 10.7554/ELIFE.47314 – volume: 220 start-page: 671 year: 1983 ident: 45670_CR170 publication-title: Science doi: 10.1126/science.220.4598.671 – volume: 61 start-page: 496 year: 2018 ident: 45670_CR78 publication-title: Dig. Tech. Pap. IEEE Int. Solid State Circuits Conf. – volume: 4 start-page: 1 year: 2013 ident: 45670_CR188 publication-title: Nat. Commun. doi: 10.1038/ncomms3072 – volume: 12 start-page: 288 year: 2013 ident: 45670_CR269 publication-title: IEEE Trans. Nanotechnol. doi: 10.1109/TNANO.2013.2250995 – volume: 37 start-page: 994 year: 2016 ident: 45670_CR198 publication-title: IEEE Electron. Device Lett. doi: 10.1109/LED.2016.2582859 – volume: 64 year: 2021 ident: 45670_CR263 publication-title: Sci. China Inf. Sci. doi: 10.1007/s11432-020-3245-7 – ident: 45670_CR90 doi: 10.1109/CVPR.2016.90 – ident: 45670_CR168 doi: 10.1109/ICNN.1995.488968 – volume: 26 start-page: 322 year: 2021 ident: 45670_CR243 publication-title: Tsinghua Sci. Technol. doi: 10.26599/TST.2019.9010070 – ident: 45670_CR31 doi: 10.1109/IJCNN52387.2021.9533738 – volume: 558 start-page: 60 year: 2018 ident: 45670_CR122 publication-title: Nature doi: 10.1038/s41586-018-0180-5 – volume: 57 start-page: 1027 year: 2022 ident: 45670_CR71 publication-title: IEEE J. Solid-State Circuits doi: 10.1109/JSSC.2022.3140414 – ident: 45670_CR28 – volume: 108 start-page: 217 year: 2018 ident: 45670_CR289 publication-title: Neural Netw. doi: 10.1016/j.neunet.2018.08.012 – volume: 20 start-page: 1012 year: 2012 ident: 45670_CR252 publication-title: IEEE Trans. Very Large Scale Integr. VLSI Syst. doi: 10.1109/TVLSI.2011.2136443 – volume: 40 start-page: 25 year: 2020 ident: 45670_CR21 publication-title: IEEE Micro doi: 10.1109/MM.2020.2975764 – ident: 45670_CR13 doi: 10.1109/FPL.2009.5272559 – volume: 5 start-page: 1 year: 2015 ident: 45670_CR166 publication-title: Sci. Rep. – ident: 45670_CR153 – volume: 14 start-page: 140 year: 2015 ident: 45670_CR239 publication-title: IEEE Comput. Archit. Lett. doi: 10.1109/LCA.2015.2402435 – ident: 45670_CR264 doi: 10.1145/3394885.3431635 – volume: 28 start-page: 279 year: 2001 ident: 45670_CR137 publication-title: Analog Integr. Circuits Signal Process doi: 10.1023/A:1011208127849 – volume: 58 start-page: 2729 year: 2011 ident: 45670_CR38 publication-title: IEEE Trans. Electron Dev. doi: 10.1109/TED.2011.2147791 – ident: 45670_CR44 – ident: 45670_CR230 doi: 10.1109/ASP-DAC47756.2020.9045658 – ident: 45670_CR73 – ident: 45670_CR132 doi: 10.21236/ADA451466 – volume: 31 start-page: 4353 year: 2019 ident: 45670_CR12 publication-title: Neural Comput. Appl. doi: 10.1007/s00521-018-3354-z – volume: 52 start-page: 127 year: 2017 ident: 45670_CR131 publication-title: IEEE J. Solid-State Circuits doi: 10.1109/JSSC.2016.2616357 – volume: 43 start-page: 1972 year: 1996 ident: 45670_CR80 publication-title: IEEE Trans. Electron. Dev. doi: 10.1109/16.543035 – volume: 2 start-page: 420 year: 2019 ident: 45670_CR219 publication-title: Nat. Electron. doi: 10.1038/s41928-019-0288-0 – volume: 9 year: 2021 ident: 45670_CR254 publication-title: Front Phys. doi: 10.3389/fphy.2021.735021 – ident: 45670_CR123 doi: 10.1109/IEDM.2018.8614639 – ident: 45670_CR275 doi: 10.1109/ISSCC19947.2020.9062953 – volume: 15 start-page: 4 year: 2019 ident: 45670_CR235 publication-title: ACM Trans. Archit. Code Optim. (TACO) – ident: 45670_CR262 doi: 10.1145/3476994 – ident: 45670_CR217 doi: 10.1038/srep10123 – volume: 3 start-page: 2000137 year: 2021 ident: 45670_CR204 publication-title: Adv. Intell. Syst. doi: 10.1002/aisy.202000137 – volume: 66 start-page: 793 year: 2019 ident: 45670_CR268 publication-title: IEEE Trans. Electron. Dev. doi: 10.1109/TED.2018.2882779 – ident: 45670_CR223 – ident: 45670_CR89 – volume: 547 start-page: 74 year: 2017 ident: 45670_CR39 publication-title: Nature doi: 10.1038/nature22994 – ident: 45670_CR249 doi: 10.1109/LASCAS51355.2021.9667132 – volume: 66 start-page: 719 year: 2019 ident: 45670_CR119 publication-title: IEEE Trans. Circuits Syst. I: Regul. Pap. doi: 10.1109/TCSI.2018.2866510 – ident: 45670_CR9 doi: 10.1145/977091.977115 – volume: 31 start-page: 114 year: 2023 ident: 45670_CR284 publication-title: IEEE Trans. Very Large Scale Integr. VLSI Syst. doi: 10.1109/TVLSI.2022.3221390 – ident: 45670_CR64 doi: 10.1063/1.5115531 – ident: 45670_CR100 doi: 10.23919/VLSIT.2017.7998149 – volume: 29 start-page: 3 year: 2021 ident: 45670_CR74 publication-title: IEEE Trans. Very Large Scale Integr. VLSI Syst. doi: 10.1109/TVLSI.2020.3020286 – volume: 16 start-page: 680 year: 2021 ident: 45670_CR121 publication-title: Nat. Nanotechnol. doi: 10.1038/s41565-021-00874-8 – ident: 45670_CR283 doi: 10.1109/HPCA.2016.7446049 – volume: 6 start-page: 1 year: 2022 ident: 45670_CR118 publication-title: npj 2D Mater. Appl. doi: 10.1038/s41699-021-00284-3 – ident: 45670_CR161 doi: 10.1145/3173162.3173171 – ident: 45670_CR194 doi: 10.1038/ncomms15199 – volume: 68 start-page: 4373 year: 2021 ident: 45670_CR114 publication-title: IEEE Trans. Electron. Dev. doi: 10.1109/TED.2021.3095433 – ident: 45670_CR3 – volume: 62 start-page: 2410 year: 2015 ident: 45670_CR84 publication-title: IEEE Trans. Ind. Electron. doi: 10.1109/TIE.2014.2356439 |
| SSID | ssj0000391844 |
| Score | 2.7310796 |
| SecondaryResourceType | review_article |
| Snippet | Artificial Intelligence (AI) is currently experiencing a bloom driven by deep learning (DL) techniques, which rely on networks of connected simple computing... Abstract Artificial Intelligence (AI) is currently experiencing a bloom driven by deep learning (DL) techniques, which rely on networks of connected simple... |
| SourceID | doaj pubmedcentral proquest pubmed crossref springer |
| SourceType | Open Website Open Access Repository Aggregation Database Index Database Enrichment Source Publisher |
| StartPage | 1974 |
| SubjectTerms | 639/166/987 639/301/1005/1007 639/925/927/1007 Artificial intelligence Artificial neural networks CMOS Data communication Deep learning Energy efficiency Hardware Humanities and Social Sciences Machine learning Memory devices Memristors multidisciplinary Neural networks Parallel processing Performance evaluation Performance measurement Power management Review Review Article Science Science (multidisciplinary) |
| SummonAdditionalLinks | – databaseName: DOAJ Directory of Open Access Journals dbid: DOA link: http://cvtisr.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwrV1LT9wwEB5VqJW4oD4ohEIVJG7Uwom9iX1sK1BPqAcqcbP8iliJzaLdhar_nhk7u2VpoZeeIjlONPk8noc8-QbgKDh0wrL1jNvaU4ISmNNKMBsoZVaYdEWVmk205-fq8lJ_f9Dqi2rCMj1wBu6EsqbgR7Kpo5Nth_614a7W3HMfnNORrC9GPQ-SqWSDhcbURQ5_yXChTuYy2QR0SQxjhpYzveaJEmH_36LMP4slH52YJkd09hq2hgiy_JwlfwMvYv8WXuWekr_ewSmdxf-0s1iOJ8vacAK_nHblJE4SlcCMkfMKJX19ZpAoidcyXVJV-HwbfpydXnz9xoZeCQyxqRYsyCCcdF61uvHWS2G57eSoCsHWuAqdbHFAe151XIVGY5yEoWDnvI611a7j4j1s9NM-7kKpfRWagImNHlnptHVcog2MGOlEyjdkAdUSN-MHInHqZ3Ft0oG2UCZjbRBrk7A2uoDj1TM3mUbj2dlfaDlWM4kCOw2gYphBMcy_FKOA_eVimmFfzk1N9H9t03BRwOHqNu4oOiaxfZze5jktVVxWBezktV9JIhRRu454AWpNK9ZEXb_Tj68SazcxsGIshi_9tFSg33I9jcXe_8DiA2zWpPlUOyf3YWMxu40H8NLfLcbz2ce0de4BsyUbfQ priority: 102 providerName: Directory of Open Access Journals |
| Title | Hardware implementation of memristor-based artificial neural networks |
| URI | https://link.springer.com/article/10.1038/s41467-024-45670-9 https://www.ncbi.nlm.nih.gov/pubmed/38438350 https://www.proquest.com/docview/2937176603 https://www.proquest.com/docview/2937700941 https://pubmed.ncbi.nlm.nih.gov/PMC10912231 https://doaj.org/article/2747dc5462eb47f38960b290c0cdbb9e |
| Volume | 15 |
| WOSCitedRecordID | wos001179853600015&url=https%3A%2F%2Fcvtisr.summon.serialssolutions.com%2F%23%21%2Fsearch%3Fho%3Df%26include.ft.matches%3Dt%26l%3Dnull%26q%3D |
| hasFullText | 1 |
| inHoldings | 1 |
| isFullTextHit | |
| isPrint | |
| journalDatabaseRights | – providerCode: PRVAON databaseName: DOAJ Directory of Open Access Journals customDbUrl: eissn: 2041-1723 dateEnd: 99991231 omitProxy: false ssIdentifier: ssj0000391844 issn: 2041-1723 databaseCode: DOA dateStart: 20150101 isFulltext: true titleUrlDefault: https://www.doaj.org/ providerName: Directory of Open Access Journals – providerCode: PRVHPJ databaseName: ROAD: Directory of Open Access Scholarly Resources customDbUrl: eissn: 2041-1723 dateEnd: 99991231 omitProxy: false ssIdentifier: ssj0000391844 issn: 2041-1723 databaseCode: M~E dateStart: 20100101 isFulltext: true titleUrlDefault: https://road.issn.org providerName: ISSN International Centre – providerCode: PRVPQU databaseName: ProQuest Advanced Technologies & Aerospace Database (NC LIVE) customDbUrl: eissn: 2041-1723 dateEnd: 99991231 omitProxy: false ssIdentifier: ssj0000391844 issn: 2041-1723 databaseCode: P5Z dateStart: 20100101 isFulltext: true titleUrlDefault: https://search.proquest.com/hightechjournals providerName: ProQuest – providerCode: PRVPQU databaseName: ProQuest Biological Science Database (NC LIVE) customDbUrl: eissn: 2041-1723 dateEnd: 99991231 omitProxy: false ssIdentifier: ssj0000391844 issn: 2041-1723 databaseCode: M7P dateStart: 20100101 isFulltext: true titleUrlDefault: http://search.proquest.com/biologicalscijournals providerName: ProQuest – providerCode: PRVPQU databaseName: ProQuest Central customDbUrl: eissn: 2041-1723 dateEnd: 99991231 omitProxy: false ssIdentifier: ssj0000391844 issn: 2041-1723 databaseCode: BENPR dateStart: 20100101 isFulltext: true titleUrlDefault: https://www.proquest.com/central providerName: ProQuest – providerCode: PRVPQU databaseName: ProQuest Health & Medical Collection (NC LIVE) customDbUrl: eissn: 2041-1723 dateEnd: 99991231 omitProxy: false ssIdentifier: ssj0000391844 issn: 2041-1723 databaseCode: 7X7 dateStart: 20100101 isFulltext: true titleUrlDefault: https://search.proquest.com/healthcomplete providerName: ProQuest – providerCode: PRVPQU databaseName: Publicly Available Content Database customDbUrl: eissn: 2041-1723 dateEnd: 99991231 omitProxy: false ssIdentifier: ssj0000391844 issn: 2041-1723 databaseCode: PIMPY dateStart: 20100101 isFulltext: true titleUrlDefault: http://search.proquest.com/publiccontent providerName: ProQuest |
| link | http://cvtisr.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwpV1Lb9QwEB7RFiQuvB-BEgWJG0R1EiexT4iireDAKkIgLVwsv1JWYpOSbEH8ezzOo1oevXBJpNiJbM-MZ8Yz-QbgmVFOCdNSx0SmGh0UEyvOslgadJmZc7os88UmyuWSrVa8Gg_c-jGtctoT_UZtWo1n5EcpAreVRUGyl2ffYqwahdHVsYTGHhwgSgIKZpV_ns9YEP2cUTr-K0MydtRTvzM4xRQ7y6EkMd_RRx62_2-25p8pk7_FTb06Orn5vxO5BTdGQzR6NXDObbhimztwbShN-fMuLDCk_0N2NlpvphRzpGHU1tHGbjwiQRejDjQRct8ARBEhPKa_-eTy_h58PFl8eP0mHksuxDqnyTY21GSKKs1KXmipaSaJrGmeGCNTR8yalu4B1ySpCTMFd-aWsyhrpblNJVc1ye7DftM29iFEXCemMM4_4rmkiktFqNtKrTOYLLotNIBkWnihRzxyLIvxVfi4eMbEQCzhiCU8sQQP4Pn8ztmAxnFp72Ok59wTkbT9g7Y7FaNgCvTKjZt8kVpFy9rZbwVRKSeaaKMUtwEcTmQUo3j34oKGATydm51gYrRFNrY9H_qUmLiZBPBgYJ55JBlDhNicBMB22GpnqLstzfqLB_9GIFdn0rmPvpg48GJc_16LR5dP4zFcT1EoMLmOHsL-tju3T-Cq_r5d910Ie-Wq9FcWwsHxYlm9D_3hRYipslXopc61VG_fVZ9-AXD7MJM |
| linkProvider | ProQuest |
| linkToHtml | http://cvtisr.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMw1V1Jb9QwFH4qBQQX9iVQIEhwgqhO4ontA0IsrVq1jDgUaW7GW2AkZlJmplT9U_xG3nOSqYaltx44RXKcyI6_t9kv3wN45i0aYS5cxkzhKEDxmVWyzIynkFli0BVkLDYhhkM5GqmPa_Cz_xeG0ip7nRgVtW8c7ZFvFkTcJqqKla8Pv2dUNYpOV_sSGi0s9sLJMYZs81e773F9nxfF9tbBu52sqyqQuQHPF5nnvrTcOilU5YzjpWGm5oPce1PgeGsusEE5ltdM-kqhR4FOU22dCoVRtmYlvvcCXEQ9LiiFTIzEck-H2NYl592_OayUm3MeNREawgw9FcEytWL_YpmAv_m2f6Zo_nZOG83f9vX_7cPdgGudo52-aSXjJqyF6S243JbePLkNW5SycGxmIR1P-hR6wmja1OkkTCLjwiwjG-9Tkq6WaCMl-s94icnz8zvw6VzmcBfWp8003IdUudxXHuM_NTDcKmMZR1MR0CEMFJbxBPJ-obXr-Nap7Mc3Hc_9S6lbcGgEh47g0CqBF8tnDlu2kTN7vyX8LHsSU3hsaGZfdKd4NO06eJx8VQTLRY3-acVsoZhjzlurQgIbPWx0p77m-hQzCTxd3kbFQ6dJZhqao7aPoMTUPIF7LViXIyklMeAOWAJyBcYrQ129Mx1_jeTmRFSLLiu-9GWP-NNx_ftbPDh7Gk_gys7Bh329vzvcewhXCxJISiTkG7C-mB2FR3DJ_ViM57PHUaJT-HzekvALfj6Ifw |
| linkToPdf | http://cvtisr.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMw1V1Jb9QwFH4qZREX9iVQIEhwgmicxJPYB4SAdkRVNJoDSBUX11tgJCZTZqZU_Wv8Ot5zlmpYeuuBUyTHiez4e5v98j2AZ86gEealTZjOLAUoLjFS5Il2FDILDLq8CMUmyvFY7O_LyQb87P6FobTKTicGRe3mlvbIBxkRt5VFwfJB1aZFTLZHrw-_J1RBik5au3IaDUT2_Mkxhm_LV7vbuNbPs2y08_Hd-6StMJDYIU9XieMuN9xYUcrCastzzXTFh6lzOsOxV7zEBmlZWjHhConeBTpQlbHSZ1qaiuX43gtwscQYkwK_yfBzv79DzOuC8_Y_HZaLwZIHrYRGMUGvpWSJXLOFoWTA3_zcP9M1fzuzDaZwdP1__og34FrrgMdvGom5CRu-vgWXm5KcJ7dhh1IZjvXCx9NZl1pP2I3nVTzzs8DEsEjI9ruYpK4h4IiJFjRcQlL98g58Opc53IXNel77-xBLm7rCYVwoh5obqQ3jaEI8OoqewjUeQdoturItDzuVA_mmQj5ALlQDFIVAUQEoSkbwon_msGEhObP3W8JS35MYxEPDfPFFtQpJ0W6Ew8kXmTe8rNBvLZjJJLPMOmOkj2Crg5Bq1dpSneIngqf9bVRIdMqkaz8_avqUlLCaRnCvAW4_klwQM-6QRSDWIL021PU79fRrID0nAlt0ZfGlLzv0n47r39_iwdnTeAJXUADUh93x3kO4mpFsUn4h34LN1eLIP4JL9sdqulw8DsIdw8F5C8IvZduRcg |
| openUrl | ctx_ver=Z39.88-2004&ctx_enc=info%3Aofi%2Fenc%3AUTF-8&rfr_id=info%3Asid%2Fsummon.serialssolutions.com&rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&rft.genre=article&rft.atitle=Hardware+implementation+of+memristor-based+artificial+neural+networks&rft.jtitle=Nature+communications&rft.au=Aguirre%2C+Fernando&rft.au=Sebastian%2C+Abu&rft.au=Le+Gallo%2C+Manuel&rft.au=Song%2C+Wenhao&rft.date=2024-03-04&rft.eissn=2041-1723&rft.volume=15&rft.issue=1&rft.spage=1974&rft_id=info:doi/10.1038%2Fs41467-024-45670-9&rft_id=info%3Apmid%2F38438350&rft.externalDocID=38438350 |
| thumbnail_l | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/lc.gif&issn=2041-1723&client=summon |
| thumbnail_m | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/mc.gif&issn=2041-1723&client=summon |
| thumbnail_s | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/sc.gif&issn=2041-1723&client=summon |