On optimizing system energy of voltage–frequency island based 3-D multi-core SoCs under thermal constraints

Three dimensional (3-D) multi-core SoC has been recognized as a promising solution for implementing complex applications with lower system energy. Recently, voltage–frequency island (VFI)-based design paradigm was widely adopted for energy optimization. However, the existing work commonly targeted 2...

Celý popis

Uloženo v:
Podrobná bibliografie
Vydáno v:Integration (Amsterdam) Ročník 48; s. 36 - 45
Hlavní autoři: Jin, Song, Wang, Yu, Liu, Tongna
Médium: Journal Article
Jazyk:angličtina
Vydáno: Amsterdam Elsevier B.V 01.01.2015
Elsevier
Témata:
ISSN:0167-9260, 1872-7522
On-line přístup:Získat plný text
Tagy: Přidat tag
Žádné tagy, Buďte první, kdo vytvoří štítek k tomuto záznamu!
Abstract Three dimensional (3-D) multi-core SoC has been recognized as a promising solution for implementing complex applications with lower system energy. Recently, voltage–frequency island (VFI)-based design paradigm was widely adopted for energy optimization. However, the existing work commonly targeted 2-D platform, which cannot handle the exacerbated thermal issues and the increased solution space from 3-D integration. In this paper, we propose an optimization framework targeting VFI-based 3-D multi-core SoCs to minimize system energy meanwhile still meeting task deadline and thermal constraints. Our framework conducts at an earlier design phase in which designers have the freedom to determine the core stacks and map them into the hardware platform. Besides energy-aware task scheduling, we also conduct core stacking and task adjusting to balance the powers across the chip for thermal optimization. Moreover, by treating each core stack as a unity, the complicated problem of core mapping and VFI partitioning in 3-D platform can be simplified as a 2-D one. Experimental results demonstrate that on average our framework can achieve an energy reduction of 15.8% over the prior thermal balancing algorithm [17] (X. Zhou, J. Yang, Y. Xu, et al. Thermal-aware task scheduling for 3D multicore processors, IEEE Trans. Parallel Distrib. Syst. (TPDS), 21(1) (2010), 60–71.). Moreover, on average a reduction of 4.8°C in peak temperature is achieved by our framework, compared with the state-of-the-art energy optimization scheme [8] (U.Y. Ogras, R. Marculescu, P. Choudhary, et al. Voltage–frequency island partitioning for GALS-based networks-on-chip, in: ACM/IEEE Design Automation Conference (DAC), 2007, pp. 110–115.). •We unified consider task scheduling and V/F scaling to minimize computation energy.•Core stacking and task migrating are performed to balance powers across the chip.•We simplify 3-D mapping problem into a 2-D one by treating core stack as a unity.
AbstractList Three dimensional (3-0) multi-core SoC has been recognized as a promising solution for implementing complex applications with lower system energy. Recently, voltage-frequency island (VFI)-based design paradigm was widely adopted for energy optimization. However, the existing work commonly targeted 2-D platform, which cannot handle the exacerbated thermal issues and the increased solution space from 3-D integration. In this paper, we propose an optimization framework targeting VFI-based 3-D multi-core SoCs to minimize system energy meanwhile still meeting task deadline and thermal constraints. Our framework conducts at an earlier design phase in which designers have the freedom to determine the core stacks and map them into the hardware platform. Besides energy-aware task scheduling, we also conduct core stacking and task adjusting to balance the powers across the chip for thermal optimization. Moreover, by treating each core stack as a unity, the complicated problem of core mapping and VFI partitioning in 3-D platform can be simplified as a 2-D one. Experimental results demonstrate that on average our framework can achieve an energy reduction of 15.8% over the prior thermal balancing algorithm [17] (X. Zhou, J. Yang, Y. Xu, et al. Thermal-aware task scheduling for 3D multicore processors, IEEE Trans. Parallel Distrib. Syst. (TPDS), 21(1) (2010), 60-71.). Moreover, on average a reduction of 4.8 [degrees]C in peak temperature is achieved by our framework, compared with the state-of-the-art energy optimization scheme [8] (U.Y. Ogras, R. Marculescu, P. Choudhary, et al. Voltage- frequency island partitioning for GALS-based networks-on-chip, in: ACM/IEEE Design Automation Conference (DAC), 2007, pp. 110-115.).
Three dimensional (3-D) multi-core SoC has been recognized as a promising solution for implementing complex applications with lower system energy. Recently, voltage–frequency island (VFI)-based design paradigm was widely adopted for energy optimization. However, the existing work commonly targeted 2-D platform, which cannot handle the exacerbated thermal issues and the increased solution space from 3-D integration. In this paper, we propose an optimization framework targeting VFI-based 3-D multi-core SoCs to minimize system energy meanwhile still meeting task deadline and thermal constraints. Our framework conducts at an earlier design phase in which designers have the freedom to determine the core stacks and map them into the hardware platform. Besides energy-aware task scheduling, we also conduct core stacking and task adjusting to balance the powers across the chip for thermal optimization. Moreover, by treating each core stack as a unity, the complicated problem of core mapping and VFI partitioning in 3-D platform can be simplified as a 2-D one. Experimental results demonstrate that on average our framework can achieve an energy reduction of 15.8% over the prior thermal balancing algorithm [17] (X. Zhou, J. Yang, Y. Xu, et al. Thermal-aware task scheduling for 3D multicore processors, IEEE Trans. Parallel Distrib. Syst. (TPDS), 21(1) (2010), 60–71.). Moreover, on average a reduction of 4.8°C in peak temperature is achieved by our framework, compared with the state-of-the-art energy optimization scheme [8] (U.Y. Ogras, R. Marculescu, P. Choudhary, et al. Voltage–frequency island partitioning for GALS-based networks-on-chip, in: ACM/IEEE Design Automation Conference (DAC), 2007, pp. 110–115.). •We unified consider task scheduling and V/F scaling to minimize computation energy.•Core stacking and task migrating are performed to balance powers across the chip.•We simplify 3-D mapping problem into a 2-D one by treating core stack as a unity.
Author Wang, Yu
Jin, Song
Liu, Tongna
Author_xml – sequence: 1
  givenname: Song
  surname: Jin
  fullname: Jin, Song
  email: jinsong@ncepu.edu.cn
– sequence: 2
  givenname: Yu
  surname: Wang
  fullname: Wang, Yu
  email: wangyu@ncepu.edu.cn
– sequence: 3
  givenname: Tongna
  surname: Liu
  fullname: Liu, Tongna
  email: liutongna@ncepu.edu.cn
BackLink http://pascal-francis.inist.fr/vibad/index.php?action=getRecordDetail&idt=28891129$$DView record in Pascal Francis
BookMark eNp9kc2KFDEUhYOMYM_oC7jKRnBTZZKq1A-4kXb8gYFZqOuQSt20aVJJm5tuaFe-g2_ok5iiBxcuZhUI33c5nHNNrkIMQMhLzmrOePdmX588ulow3tZM1ozxJ2TDh15UvRTiimwK1Fej6Ngzco24Z4Voe7khy32g8ZDd4n66sKN4xgwLhQBpd6bR0lP0We_gz6_fNsGPIwRzpg69DjOdNMJMm-o9XY4-u8rEBPRL3CI9hhkSzd8hLdpTEwPmpF3I-Jw8tdojvHh4b8i3D7dft5-qu_uPn7fv7irTNk2u-tlOJfDctnwYoOlEL3U3WiGsHaEHzWbb9tPUynZsmBF2tnxcfyRIwSetmxvy-nL3kGIJjVktDg34khviERXvOsaGVjayoK8eUI1Ge5t0MA7VIblFp7MSwzByLsbCiQtnUkRMYP8hnKl1A7VX6wZq3UAxqUrDRRr-k4zLOrsY1kL84-rbiwqlp5ODpNC4Uj_MLoHJao7uMf0vWcKnAg
CODEN IVJODL
CitedBy_id crossref_primary_10_1016_j_sysarc_2019_01_003
crossref_primary_10_1016_j_sysarc_2019_07_001
crossref_primary_10_1016_j_vlsi_2025_102534
crossref_primary_10_1016_j_jss_2015_10_038
crossref_primary_10_1109_TC_2017_2783941
crossref_primary_10_1016_j_jpdc_2020_04_010
crossref_primary_10_1016_j_vlsi_2017_09_004
Cites_doi 10.1109/TVLSI.2011.2182067
10.1109/JSSC.2010.2079450
10.1109/TCAD.2008.925793
10.1109/CICC.2008.4672173
10.1145/1278480.1278509
10.1145/1391469.1391550
10.1109/DAC.2002.1012617
10.1145/1055137.1055171
10.1049/ip-cdt:20045092
10.1109/ISQED.2006.77
10.1109/DATE.2009.5090885
10.1109/TCAD.2010.2101371
10.1109/TPDS.2009.27
10.1145/1150019.1136497
10.1109/ICCAD.2008.4681584
10.1109/JSSC.2011.2109630
10.1109/ISSCC.2008.4523070
10.1109/TVLSI.2006.884166
10.1109/ISSCC.2007.373608
10.1145/2024724.2024774
10.1109/DATE.2011.5763213
10.1109/DATE.2006.243773
ContentType Journal Article
Copyright 2014 Elsevier B.V.
2015 INIST-CNRS
Copyright_xml – notice: 2014 Elsevier B.V.
– notice: 2015 INIST-CNRS
DBID AAYXX
CITATION
IQODW
7SP
8FD
L7M
DOI 10.1016/j.vlsi.2014.05.001
DatabaseName CrossRef
Pascal-Francis
Electronics & Communications Abstracts
Technology Research Database
Advanced Technologies Database with Aerospace
DatabaseTitle CrossRef
Technology Research Database
Advanced Technologies Database with Aerospace
Electronics & Communications Abstracts
DatabaseTitleList Technology Research Database

DeliveryMethod fulltext_linktorsrc
Discipline Engineering
Applied Sciences
EISSN 1872-7522
EndPage 45
ExternalDocumentID 28891129
10_1016_j_vlsi_2014_05_001
S0167926014000340
GroupedDBID --K
--M
-~X
.DC
.~1
0R~
1B1
1~.
1~5
29J
4.4
457
4G.
5GY
5VS
7-5
71M
8P~
9JN
AACTN
AAEDT
AAEDW
AAIAV
AAIKJ
AAKOC
AALRI
AAOAW
AAQFI
AAQXK
AAXUO
AAYFN
ABBOA
ABFNM
ABJNI
ABMAC
ABXDB
ABYKQ
ACDAQ
ACGFS
ACNNM
ACRLP
ACZNC
ADBBV
ADEZE
ADJOM
ADMUD
ADTZH
AEBSH
AECPX
AEKER
AFKWA
AFTJW
AGHFR
AGUBO
AGYEJ
AHHHB
AHJVU
AHZHX
AIALX
AIEXJ
AIKHN
AITUG
AJBFU
AJOXV
ALMA_UNASSIGNED_HOLDINGS
AMFUW
AMRAJ
AOUOD
ASPBG
AVWKF
AXJTR
AZFZN
BJAXD
BKOJK
BLXMC
CS3
EBS
EFJIC
EFLBG
EJD
EO8
EO9
EP2
EP3
F0J
F5P
FDB
FEDTE
FGOYB
FIRID
FNPLU
FYGXN
G-2
G-Q
G8K
GBLVA
GBOLZ
HLZ
HVGLF
HZ~
IHE
J1W
JJJVA
KOM
LG9
LY7
M41
MO0
N9A
O-L
O9-
OAUVE
OZT
P-8
P-9
P2P
PC.
Q38
R2-
RIG
ROL
RPZ
SBC
SDF
SDG
SDP
SES
SET
SEW
SPC
SPCBC
SST
SSV
SSZ
T5K
UHS
WUQ
XPP
ZMT
~G-
9DU
AATTM
AAXKI
AAYWO
AAYXX
ABDPE
ACLOT
ACRPL
ADNMO
AEIPS
AFJKZ
AGQPQ
AIIUN
ANKPU
APXCP
CITATION
EFKBS
~HD
BNPGV
IQODW
SSH
7SP
8FD
L7M
ID FETCH-LOGICAL-c433t-7dfb016d44188e36275a69f22ff9e7ea0df47bb454930c2fdf19f47b5e521baa3
ISICitedReferencesCount 8
ISICitedReferencesURI http://www.webofscience.com/api/gateway?GWVersion=2&SrcApp=Summon&SrcAuth=ProQuest&DestLinkType=CitingArticles&DestApp=WOS_CPL&KeyUT=000345541100004&url=https%3A%2F%2Fcvtisr.summon.serialssolutions.com%2F%23%21%2Fsearch%3Fho%3Df%26include.ft.matches%3Dt%26l%3Dnull%26q%3D
ISSN 0167-9260
IngestDate Sun Nov 09 10:31:10 EST 2025
Wed Apr 02 07:25:10 EDT 2025
Tue Nov 18 22:43:15 EST 2025
Sat Nov 29 07:23:08 EST 2025
Fri Feb 23 02:22:05 EST 2024
IsPeerReviewed true
IsScholarly true
Keywords Voltage–frequency island
Power balancing
Thermal constraint
System energy
3-Dimensional SoCs
Interconnection network
State of the art
Partition method
Stacking
Voltage-frequency island
Network architecture
Mapping
Implementation
Optimization
Partitioning
Three dimensional structure
Electric power consumption
Minimum energy
Globally asynchronous locally synchronous
Task scheduling
System on a chip
Algorithm
Energy savings
Multicore processor
Three dimensional model
Integrated circuit
Low-power electronics
Language English
License CC BY 4.0
LinkModel OpenURL
MergedId FETCHMERGED-LOGICAL-c433t-7dfb016d44188e36275a69f22ff9e7ea0df47bb454930c2fdf19f47b5e521baa3
Notes ObjectType-Article-1
SourceType-Scholarly Journals-1
ObjectType-Feature-2
content type line 23
PQID 1660084535
PQPubID 23500
PageCount 10
ParticipantIDs proquest_miscellaneous_1660084535
pascalfrancis_primary_28891129
crossref_primary_10_1016_j_vlsi_2014_05_001
crossref_citationtrail_10_1016_j_vlsi_2014_05_001
elsevier_sciencedirect_doi_10_1016_j_vlsi_2014_05_001
PublicationCentury 2000
PublicationDate 2015-01-01
PublicationDateYYYYMMDD 2015-01-01
PublicationDate_xml – month: 01
  year: 2015
  text: 2015-01-01
  day: 01
PublicationDecade 2010
PublicationPlace Amsterdam
PublicationPlace_xml – name: Amsterdam
PublicationTitle Integration (Amsterdam)
PublicationYear 2015
Publisher Elsevier B.V
Elsevier
Publisher_xml – name: Elsevier B.V
– name: Elsevier
References F. Clermidy, F. Darve, D. Dutoit, et al., 3D Embedded multi-core: some perspectives, in: ACM/IEEE Design, Automation and Test in Europe (DATE), 2011, pp. 1327–1332.
Hu, Marculescu (bib9) 2005; 152
M.S. Bakir, C. King, D. Sekar, et al., 3D heterogeneous integrated systems: liquid cooling, power delivery, and implementation, in: IEEE Custom Integrated Circuits Conference (CICC), 2008, pp. 663–670.

Available at
W. Jang, D. Duo, D. Z. Pan. A voltage–frequency island aware energy optimization framework for networks-on-chip, in: ACM/IEEE International Conference on Computer-Aided Design (ICCAD), 2008, pp. 264–269.
G.M. Link, N. Vijaykrishnan, Thermal trends in emerging technologies, in: International Symposium on Quality Electronic Design (ISQED), 2006, pp. 8–632.
Y. Zhang, S. Hu, Z. Danny, Task scheduling and voltage selection for energy minimization, in: ACM/IEEE Design Automation Conference (DAC), 2002, pp. 183–188.
Cheng, Zhang, Han (bib12) 2013; 21
B. Goplen, S. Sapatnekar, Thermal via placement in 3D ICs, in: IEEE International Symposium on Physical Design (ISPD), 2005, pp. 167–174.
Kang, Kim, Yoo (bib20) 2010; 30
Zhou, Yang, Xu (bib17) 2010; 21
R. Dick, Embedded System Synthesis Benchmarks Suites (E3S), Available at
Sekiguchi, Ono, Kotabe (bib2) 2011; 46
E. Wong, S.K. Lim, 3D floorplanning with thermal vias, in: ACM/IEEE Design, Automation and Test in Europe (DATE), 2006, pp. 1–6.
W. Hung, G. M. Link, Y. Xie, et al., Interconnect and thermal-aware floorplanning for 3D microprocessors, in: IEEE International Symposium on Quality Electronic Design (ISQED), 2006, pp. 98–104.
F. Li, C. Nicopoulos, T. Richardson, et al., Design and management of 3D chip multiprocessors using network-in-memory, in: ACM/IEEE International Symposium on Computer Architecture (ISCA), 2006, pp. 130–141.
B. Shane, E. Bruce, A. John, et al., TILE64 processor: a 64-core SoC with mesh interconnect, in: IEEE International Solid-State Circuits Conference (ISSCC), 2008, pp. 88–598.
Howard, Dighe, Vangal (bib6) 2011; 46
S. Borkar, 3D integration for energy efficient system design, in: ACM/IEEE Design Automation Conference (DAC), 2011, pp. 214–219.
Sinha, Narendra, Zhou (bib21) 2006; 14
Zhu, Gu, Shang (bib18) 2008; 27
U.Y. Ogras, R. Marculescu, P. Choudhary, et al., Voltage-frequency island partitioning for GALS-based networks-on-chip, in: ACM/IEEE Design Automation Conference (DAC), 2007, pp. 110–115.
A.K. Coskun, J.L. Ayala, D. Atienza, et al., Dynamic thermal management in 3D multicore architectures, in: ACM/IEEE Design, Automation and Test in Europe (DATE), 2009, pp. 1410–1415.
J. Dorsey, S. Searles, M. Ciraula, et al., An integrated quad-core opteron processor, in: IEEE International Solid-State Circuits Conference (ISSCC), 2007, pp. 102–103.
S. Herbert, D. Marculescu. Characterizing chip-multiprocessor variability-tolerance, in: ACM/IEEE Design Automation Conference (DAC), 2008, pp. 313–318.
10.1016/j.vlsi.2014.05.001_bib22
Sekiguchi (10.1016/j.vlsi.2014.05.001_bib2) 2011; 46
10.1016/j.vlsi.2014.05.001_bib8
10.1016/j.vlsi.2014.05.001_bib7
Howard (10.1016/j.vlsi.2014.05.001_bib6) 2011; 46
10.1016/j.vlsi.2014.05.001_bib1
Zhou (10.1016/j.vlsi.2014.05.001_bib17) 2010; 21
10.1016/j.vlsi.2014.05.001_bib4
10.1016/j.vlsi.2014.05.001_bib25
10.1016/j.vlsi.2014.05.001_bib3
10.1016/j.vlsi.2014.05.001_bib23
10.1016/j.vlsi.2014.05.001_bib5
10.1016/j.vlsi.2014.05.001_bib24
10.1016/j.vlsi.2014.05.001_bib10
Cheng (10.1016/j.vlsi.2014.05.001_bib12) 2013; 21
10.1016/j.vlsi.2014.05.001_bib11
Sinha (10.1016/j.vlsi.2014.05.001_bib21) 2006; 14
Zhu (10.1016/j.vlsi.2014.05.001_bib18) 2008; 27
Kang (10.1016/j.vlsi.2014.05.001_bib20) 2010; 30
10.1016/j.vlsi.2014.05.001_bib19
10.1016/j.vlsi.2014.05.001_bib16
10.1016/j.vlsi.2014.05.001_bib14
10.1016/j.vlsi.2014.05.001_bib15
Hu (10.1016/j.vlsi.2014.05.001_bib9) 2005; 152
10.1016/j.vlsi.2014.05.001_bib13
References_xml – volume: 14
  start-page: 1140
  year: 2006
  end-page: 1146
  ident: bib21
  article-title: Statistical timing yield optimization by gate sizing
  publication-title: IEEE Trans. Very Large Scale Integr. Syst.
– reference: S. Borkar, 3D integration for energy efficient system design, in: ACM/IEEE Design Automation Conference (DAC), 2011, pp. 214–219.
– reference: W. Hung, G. M. Link, Y. Xie, et al., Interconnect and thermal-aware floorplanning for 3D microprocessors, in: IEEE International Symposium on Quality Electronic Design (ISQED), 2006, pp. 98–104.
– volume: 30
  start-page: 905
  year: 2010
  end-page: 918
  ident: bib20
  article-title: Runtime power management of 3-D multi-core architectures under peak power and temperature constraints
  publication-title: IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
– reference: B. Goplen, S. Sapatnekar, Thermal via placement in 3D ICs, in: IEEE International Symposium on Physical Design (ISPD), 2005, pp. 167–174.
– reference: E. Wong, S.K. Lim, 3D floorplanning with thermal vias, in: ACM/IEEE Design, Automation and Test in Europe (DATE), 2006, pp. 1–6.
– reference: G.M. Link, N. Vijaykrishnan, Thermal trends in emerging technologies, in: International Symposium on Quality Electronic Design (ISQED), 2006, pp. 8–632.
– volume: 152
  start-page: 643
  year: 2005
  end-page: 651
  ident: bib9
  article-title: Communication and task scheduling of application-specific networks-on-chip
  publication-title: Comput. Digit. Tech.
– reference: F. Clermidy, F. Darve, D. Dutoit, et al., 3D Embedded multi-core: some perspectives, in: ACM/IEEE Design, Automation and Test in Europe (DATE), 2011, pp. 1327–1332.
– reference: J. Dorsey, S. Searles, M. Ciraula, et al., An integrated quad-core opteron processor, in: IEEE International Solid-State Circuits Conference (ISSCC), 2007, pp. 102–103.
– volume: 46
  start-page: 173
  year: 2011
  end-page: 183
  ident: bib6
  article-title: A 48-core IA-32 processor in 45
  publication-title: IEEE J. Solid-State Circuits
– reference: F. Li, C. Nicopoulos, T. Richardson, et al., Design and management of 3D chip multiprocessors using network-in-memory, in: ACM/IEEE International Symposium on Computer Architecture (ISCA), 2006, pp. 130–141.
– reference: Y. Zhang, S. Hu, Z. Danny, Task scheduling and voltage selection for energy minimization, in: ACM/IEEE Design Automation Conference (DAC), 2002, pp. 183–188.
– reference: M.S. Bakir, C. King, D. Sekar, et al., 3D heterogeneous integrated systems: liquid cooling, power delivery, and implementation, in: IEEE Custom Integrated Circuits Conference (CICC), 2008, pp. 663–670.
– volume: 21
  start-page: 239
  year: 2013
  end-page: 249
  ident: bib12
  article-title: Thermal-constrained task allocation for interconnect energy reduction in 3-D homogeneous MPSoCs
  publication-title: IEEE Trans. Very Large Scale Integr. Syst.
– reference: R. Dick, Embedded System Synthesis Benchmarks Suites (E3S), Available at: 〈
– reference: W. Jang, D. Duo, D. Z. Pan. A voltage–frequency island aware energy optimization framework for networks-on-chip, in: ACM/IEEE International Conference on Computer-Aided Design (ICCAD), 2008, pp. 264–269.
– volume: 21
  start-page: 60
  year: 2010
  end-page: 71
  ident: bib17
  article-title: Thermal-aware task scheduling for 3D multicore processors
  publication-title: IEEE Trans. Parallel Distrib. Syst.
– volume: 46
  start-page: 828
  year: 2011
  end-page: 837
  ident: bib2
  article-title: 1-Tbyte/s 1-Gbit DRAM architecture using 3-D interconnect for high-throughput computing
  publication-title: IEEE J. Solid-State Circuits
– reference: B. Shane, E. Bruce, A. John, et al., TILE64 processor: a 64-core SoC with mesh interconnect, in: IEEE International Solid-State Circuits Conference (ISSCC), 2008, pp. 88–598.
– reference: S. Herbert, D. Marculescu. Characterizing chip-multiprocessor variability-tolerance, in: ACM/IEEE Design Automation Conference (DAC), 2008, pp. 313–318.
– reference:
– reference: U.Y. Ogras, R. Marculescu, P. Choudhary, et al., Voltage-frequency island partitioning for GALS-based networks-on-chip, in: ACM/IEEE Design Automation Conference (DAC), 2007, pp. 110–115.
– reference: Available at: 〈
– reference: A.K. Coskun, J.L. Ayala, D. Atienza, et al., Dynamic thermal management in 3D multicore architectures, in: ACM/IEEE Design, Automation and Test in Europe (DATE), 2009, pp. 1410–1415.
– volume: 27
  start-page: 1479
  year: 2008
  end-page: 1492
  ident: bib18
  article-title: Three-dimensional chip-multiprocessor run-time thermal management
  publication-title: IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
– ident: 10.1016/j.vlsi.2014.05.001_bib25
– volume: 21
  start-page: 239
  issue: 2
  year: 2013
  ident: 10.1016/j.vlsi.2014.05.001_bib12
  article-title: Thermal-constrained task allocation for interconnect energy reduction in 3-D homogeneous MPSoCs
  publication-title: IEEE Trans. Very Large Scale Integr. Syst.
  doi: 10.1109/TVLSI.2011.2182067
– volume: 46
  start-page: 173
  issue: 1
  year: 2011
  ident: 10.1016/j.vlsi.2014.05.001_bib6
  article-title: A 48-core IA-32 processor in 45nm CMOS using on-die message-passing and DVFS for performance and power scaling
  publication-title: IEEE J. Solid-State Circuits
  doi: 10.1109/JSSC.2010.2079450
– volume: 27
  start-page: 1479
  issue: 8
  year: 2008
  ident: 10.1016/j.vlsi.2014.05.001_bib18
  article-title: Three-dimensional chip-multiprocessor run-time thermal management
  publication-title: IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
  doi: 10.1109/TCAD.2008.925793
– ident: 10.1016/j.vlsi.2014.05.001_bib23
– ident: 10.1016/j.vlsi.2014.05.001_bib15
  doi: 10.1109/CICC.2008.4672173
– ident: 10.1016/j.vlsi.2014.05.001_bib8
  doi: 10.1145/1278480.1278509
– ident: 10.1016/j.vlsi.2014.05.001_bib4
  doi: 10.1145/1391469.1391550
– ident: 10.1016/j.vlsi.2014.05.001_bib10
  doi: 10.1109/DAC.2002.1012617
– ident: 10.1016/j.vlsi.2014.05.001_bib13
  doi: 10.1145/1055137.1055171
– volume: 152
  start-page: 643
  issue: 5
  year: 2005
  ident: 10.1016/j.vlsi.2014.05.001_bib9
  article-title: Communication and task scheduling of application-specific networks-on-chip
  publication-title: Comput. Digit. Tech.
  doi: 10.1049/ip-cdt:20045092
– ident: 10.1016/j.vlsi.2014.05.001_bib7
  doi: 10.1109/ISQED.2006.77
– ident: 10.1016/j.vlsi.2014.05.001_bib16
  doi: 10.1109/DATE.2009.5090885
– volume: 30
  start-page: 905
  issue: 6
  year: 2010
  ident: 10.1016/j.vlsi.2014.05.001_bib20
  article-title: Runtime power management of 3-D multi-core architectures under peak power and temperature constraints
  publication-title: IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.
  doi: 10.1109/TCAD.2010.2101371
– volume: 21
  start-page: 60
  issue: 1
  year: 2010
  ident: 10.1016/j.vlsi.2014.05.001_bib17
  article-title: Thermal-aware task scheduling for 3D multicore processors
  publication-title: IEEE Trans. Parallel Distrib. Syst.
  doi: 10.1109/TPDS.2009.27
– ident: 10.1016/j.vlsi.2014.05.001_bib19
  doi: 10.1145/1150019.1136497
– ident: 10.1016/j.vlsi.2014.05.001_bib11
  doi: 10.1109/ICCAD.2008.4681584
– volume: 46
  start-page: 828
  issue: 4
  year: 2011
  ident: 10.1016/j.vlsi.2014.05.001_bib2
  article-title: 1-Tbyte/s 1-Gbit DRAM architecture using 3-D interconnect for high-throughput computing
  publication-title: IEEE J. Solid-State Circuits
  doi: 10.1109/JSSC.2011.2109630
– ident: 10.1016/j.vlsi.2014.05.001_bib22
  doi: 10.1109/ISSCC.2008.4523070
– ident: 10.1016/j.vlsi.2014.05.001_bib24
– volume: 14
  start-page: 1140
  issue: 10
  year: 2006
  ident: 10.1016/j.vlsi.2014.05.001_bib21
  article-title: Statistical timing yield optimization by gate sizing
  publication-title: IEEE Trans. Very Large Scale Integr. Syst.
  doi: 10.1109/TVLSI.2006.884166
– ident: 10.1016/j.vlsi.2014.05.001_bib5
  doi: 10.1109/ISSCC.2007.373608
– ident: 10.1016/j.vlsi.2014.05.001_bib1
  doi: 10.1145/2024724.2024774
– ident: 10.1016/j.vlsi.2014.05.001_bib3
  doi: 10.1109/DATE.2011.5763213
– ident: 10.1016/j.vlsi.2014.05.001_bib14
  doi: 10.1109/DATE.2006.243773
SSID ssj0001475
Score 2.0418034
Snippet Three dimensional (3-D) multi-core SoC has been recognized as a promising solution for implementing complex applications with lower system energy. Recently,...
Three dimensional (3-0) multi-core SoC has been recognized as a promising solution for implementing complex applications with lower system energy. Recently,...
SourceID proquest
pascalfrancis
crossref
elsevier
SourceType Aggregation Database
Index Database
Enrichment Source
Publisher
StartPage 36
SubjectTerms 3-Dimensional SoCs
Applied sciences
Circuit properties
Design engineering
Design. Technologies. Operation analysis. Testing
Electric, optical and optoelectronic circuits
Electronic circuits
Electronics
Exact sciences and technology
Integrated circuits
Integrated circuits by function (including memories and processors)
Islands
Optimization
Partitioning
Platforms
Power balancing
Reduction
Semiconductor electronics. Microelectronics. Optoelectronics. Solid state devices
Signal convertors
System energy
Task scheduling
Thermal constraint
Three dimensional
Voltage–frequency island
Title On optimizing system energy of voltage–frequency island based 3-D multi-core SoCs under thermal constraints
URI https://dx.doi.org/10.1016/j.vlsi.2014.05.001
https://www.proquest.com/docview/1660084535
Volume 48
WOSCitedRecordID wos000345541100004&url=https%3A%2F%2Fcvtisr.summon.serialssolutions.com%2F%23%21%2Fsearch%3Fho%3Df%26include.ft.matches%3Dt%26l%3Dnull%26q%3D
hasFullText 1
inHoldings 1
isFullTextHit
isPrint
journalDatabaseRights – providerCode: PRVESC
  databaseName: Elsevier SD Freedom Collection Journals 2021
  customDbUrl:
  eissn: 1872-7522
  dateEnd: 99991231
  omitProxy: false
  ssIdentifier: ssj0001475
  issn: 0167-9260
  databaseCode: AIEXJ
  dateStart: 19950601
  isFulltext: true
  titleUrlDefault: https://www.sciencedirect.com
  providerName: Elsevier
link http://cvtisr.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwtV1ba9swFBZZuoeNMXYryy5Fg70ZF99kyY-h61j70A7SQfZkZFsCl8QJcRK6PW2_Yf9wv2TnWLKbNKxsg72YIKxI-Hw--nR89B1C3opI5n4Wx66UQN-A_ytX-DFzgbwWoRCFL4O8KTbBz87EeJx87PW-t2dh1hNeVeLqKpn_V1NDGxgbj87-hbm7P4UG-A1GhyuYHa5_ZPhzIIDgB6bl1yZU0Cg1O8oc8QNmCO5oCT6kTXII9cIkU39xyhqzHB1c1wondN-ZZEMXdS6d0eyobkrmNjmJ4MxRVQTFZ2VptaBahnti9ScMrMRwikoMBcKuCzmcGt2C0cyumk083_icz6suQ6hcNViCm0yB7zY24bMbsYndQzMmhgm-OQlMGYFDZfyu4ED0WbDlmI0Ep_WsYbyxRhsFyh3vbwIRl4frSV1i1l7UiLLaCW2rao9wFjgJ2GCiRo93h-wFnCWiT_aGJ8fj02459yPOWoF47GBPXpkkwZsj_Y7dPJjLGt45bYql7Kz7DZm5eEQe2l0IHRr0PCY9VT0h9ze0KZ-S6XlFr3FEDY6owRGdaWpx9PPbjw5B1CCINgiigCB6jSCKCKINgqhFEN1A0DPy6f3xxdEH19bmcPMoDJcuL3QGj6AANi2EClHrWsaJDgKtE8WV9Aod8SyLWJSEXh7oQvsJtjAFfDGTMtwn_WpWqeeExgnXsAthmZd5kYxjyWPGcx5kmhXgYpIB8dtnmuZWuB4nN0nbDMXLFO2Qoh1Sj2Ga5oA4XZ-5kW259W7Wmiq1xNMQyhSQdWu_gy27dkMFQiS4kRmQN62hU3Db-C1OVmq2qlM_jrGUBQvZi38c_CW5d_3KvSL95WKlXpO7-XpZ1osDi-JfyGzAQg
linkProvider Elsevier
openUrl ctx_ver=Z39.88-2004&ctx_enc=info%3Aofi%2Fenc%3AUTF-8&rfr_id=info%3Asid%2Fsummon.serialssolutions.com&rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&rft.genre=article&rft.atitle=On+optimizing+system+energy+of+voltage%E2%80%93frequency+island+based+3-D+multi-core+SoCs+under+thermal+constraints&rft.jtitle=Integration+%28Amsterdam%29&rft.au=Jin%2C+Song&rft.au=Wang%2C+Yu&rft.au=Liu%2C+Tongna&rft.date=2015-01-01&rft.pub=Elsevier+B.V&rft.issn=0167-9260&rft.eissn=1872-7522&rft.volume=48&rft.spage=36&rft.epage=45&rft_id=info:doi/10.1016%2Fj.vlsi.2014.05.001&rft.externalDocID=S0167926014000340
thumbnail_l http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/lc.gif&issn=0167-9260&client=summon
thumbnail_m http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/mc.gif&issn=0167-9260&client=summon
thumbnail_s http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/sc.gif&issn=0167-9260&client=summon