In-memory database acceleration on FPGAs: a survey
While FPGAs have seen prior use in database systems, in recent years interest in using FPGA to accelerate databases has declined in both industry and academia for the following three reasons. First, specifically for in-memory databases, FPGAs integrated with conventional I/O provide insufficient ban...
Uloženo v:
| Vydáno v: | The VLDB journal Ročník 29; číslo 1; s. 33 - 59 |
|---|---|
| Hlavní autoři: | , , , , |
| Médium: | Journal Article |
| Jazyk: | angličtina |
| Vydáno: |
Berlin/Heidelberg
Springer Berlin Heidelberg
01.01.2020
Springer Nature B.V |
| Témata: | |
| ISSN: | 1066-8888, 0949-877X |
| On-line přístup: | Získat plný text |
| Tagy: |
Přidat tag
Žádné tagy, Buďte první, kdo vytvoří štítek k tomuto záznamu!
|
| Abstract | While FPGAs have seen prior use in database systems, in recent years interest in using FPGA to accelerate databases has declined in both industry and academia for the following three reasons. First, specifically for in-memory databases, FPGAs integrated with conventional I/O provide insufficient bandwidth, limiting performance. Second, GPUs, which can also provide high throughput, and are easier to program, have emerged as a strong accelerator alternative. Third, programming FPGAs required developers to have full-stack skills, from high-level algorithm design to low-level circuit implementations. The good news is that these challenges are being addressed. New interface technologies connect FPGAs into the system at main-memory bandwidth and the latest FPGAs provide local memory competitive in capacity and bandwidth with GPUs. Ease of programming is improving through support of shared coherent virtual memory between the host and the accelerator, support for higher-level languages, and domain-specific tools to generate FPGA designs automatically. Therefore, this paper surveys using FPGAs to accelerate in-memory database systems targeting designs that can operate at the speed of main memory. |
|---|---|
| AbstractList | While FPGAs have seen prior use in database systems, in recent years interest in using FPGA to accelerate databases has declined in both industry and academia for the following three reasons. First, specifically for in-memory databases, FPGAs integrated with conventional I/O provide insufficient bandwidth, limiting performance. Second, GPUs, which can also provide high throughput, and are easier to program, have emerged as a strong accelerator alternative. Third, programming FPGAs required developers to have full-stack skills, from high-level algorithm design to low-level circuit implementations. The good news is that these challenges are being addressed. New interface technologies connect FPGAs into the system at main-memory bandwidth and the latest FPGAs provide local memory competitive in capacity and bandwidth with GPUs. Ease of programming is improving through support of shared coherent virtual memory between the host and the accelerator, support for higher-level languages, and domain-specific tools to generate FPGA designs automatically. Therefore, this paper surveys using FPGAs to accelerate in-memory database systems targeting designs that can operate at the speed of main memory. |
| Author | Lee, Jinho Hofstee, H. Peter Mulder, Yvo T. B. Hidders, Jan Fang, Jian |
| Author_xml | – sequence: 1 givenname: Jian orcidid: 0000-0002-1077-1859 surname: Fang fullname: Fang, Jian email: j.fang-1@tudelft.nl organization: Delft University of Technology – sequence: 2 givenname: Yvo T. B. surname: Mulder fullname: Mulder, Yvo T. B. organization: IBM Research and Development – sequence: 3 givenname: Jan surname: Hidders fullname: Hidders, Jan organization: Vrije Universiteit Brussel – sequence: 4 givenname: Jinho surname: Lee fullname: Lee, Jinho organization: Yonsei University – sequence: 5 givenname: H. Peter surname: Hofstee fullname: Hofstee, H. Peter organization: Delft University of Technology, IBM Research |
| BookMark | eNp9kEFLAzEQhYNUsK3-AU8LnqOzSXaTeCvF1kJBDwreQjadlS3tbk22lv33jV1B8NBhmLm8b-bxRmRQNzUScpvCfQogH0IcUlFINQXIVEoPF2QIWmiqpPwYkGEKeU5VrCsyCmENAIyxbEjYoqZb3Da-S1a2tYUNmFjncIPetlVTJ7Fnr_NJeExsEvb-G7trclnaTcCb3z0m77Ont-kzXb7MF9PJkjrBdEtXhcS8cE5oBUpIwUErRCFBlqoEYZ3kmGu00TiToHNlZeYs02VW6EKxFR-Tu_7uzjdfewytWTd7X8eXhnGhWM55vDomqlc534TgsTSuak_WW2-rjUnB_CRk-oRMTMicEjKHiLJ_6M5XW-u78xDvoRDF9Sf6P1dnqCNuz3my |
| CitedBy_id | crossref_primary_10_1109_TC_2025_3569163 crossref_primary_10_1016_j_future_2024_04_059 crossref_primary_10_1109_TNS_2023_3235904 crossref_primary_10_1109_TC_2021_3068715 crossref_primary_10_1145_3508024 crossref_primary_10_1145_3749189 crossref_primary_10_1016_j_mattod_2022_08_017 crossref_primary_10_3389_fhpcp_2025_1572844 crossref_primary_10_1007_s13204_021_01985_3 crossref_primary_10_3390_network3010001 crossref_primary_10_1145_3485126 crossref_primary_10_1145_3706113 crossref_primary_10_1109_ACCESS_2021_3098004 crossref_primary_10_1364_JOCN_448626 crossref_primary_10_3390_s24237709 crossref_primary_10_1007_s42979_024_03381_4 crossref_primary_10_1109_MCAS_2021_3071608 crossref_primary_10_3390_mi13112029 crossref_primary_10_1016_j_parco_2024_103064 crossref_primary_10_1016_j_sysarc_2022_102734 crossref_primary_10_1145_3674843 crossref_primary_10_1007_s00778_020_00642_5 crossref_primary_10_3390_electronics13112125 crossref_primary_10_1109_TKDE_2024_3386827 crossref_primary_10_1145_3639291 crossref_primary_10_1109_ACCESS_2023_3261802 crossref_primary_10_1145_3568990 crossref_primary_10_1007_s11265_020_01547_w crossref_primary_10_1109_ACCESS_2024_3452104 |
| Cites_doi | 10.14778/1952376.1952381 10.1109/MICRO.2016.7783710 10.14778/1687553.1687564 10.1145/3035918.3035954 10.1145/2370816.2370874 10.1145/1998582.1998590 10.1007/s00778-011-0232-z 10.1145/2463209.2488795 10.1145/1989323.1989328 10.1145/2508148.2485945 10.1109/FCCM.2018.00038 10.1109/TVLSI.2008.2003512 10.1145/2536800 10.14778/2336664.2336678 10.1109/MCSE.2010.93 10.1145/2664666.2664670 10.1109/FPL.2013.6645550 10.1007/978-3-030-10549-5_15 10.1145/1807167.1807307 10.1145/1534916.1534919 10.14778/1687627.1687654 10.1109/FCCM.2019.00076 10.1145/1562764.1562783 10.17487/rfc1952 10.1145/2847263.2847305 10.1109/TCAD.2011.2110592 10.1145/2499369.2465557 10.1109/TKDE.2014.2313874 10.1145/3035918.3035946 10.1007/978-3-319-57972-6_10 10.1145/1950413.1950423 10.1145/1950413.1950427 10.1109/ICSAMOS.2009.5289237 10.1007/978-3-319-56111-0_2 10.1145/2540708.2540748 10.1145/2554688.2554787 10.1109/ASAP.2017.7995280 10.1007/978-3-319-98521-3_7 10.1145/2934583.2953984 10.1109/TIT.1978.1055934 10.1145/3003665.3003669 10.1145/1468075.1468121 10.14778/2732219.2732227 10.1109/FCCM.2013.17 10.1007/978-3-319-13960-9_1 10.1109/FPL.2012.6339272 10.1109/FPL.2012.6339221 10.1145/3035918.3058746 10.14778/3137765.3137776 10.1109/MM.2013.107 10.1109/HPCS.2018.00030 10.1109/MC.1984.1659158 10.14778/2536206.2536210 10.1109/FPL.2014.6927484 10.1007/978-3-030-02465-9_25 10.1109/FPL.2018.00030 10.2200/S00514ED1V01Y201306DTM035 10.1109/TCAD.2015.2513673 10.1109/TIT.1977.1055714 10.1109/CODESISSS.2018.8525953 10.1007/978-3-642-19475-7_26 10.1007/978-3-662-45761-0_1 10.1007/978-3-030-17227-5_3 10.1145/2678373.2665678 10.1147/rd.494.0589 10.14778/1687627.1687730 10.1145/2435264.2435268 10.1109/FPL.2015.7293941 10.1145/1739041.1739137 10.1145/2897937.2905012 10.1145/1620585.1620588 10.14778/3236187.3236188 10.1109/ICDE.2012.39 10.1145/3174243.3174987 10.1145/1559845.1559965 10.14778/2536360.2536370 10.1109/MCSE.2010.69 10.1109/ICPP.2016.35 10.1109/FCCM.2018.00023 10.14778/2732967.2732972 10.1145/322344.322346 10.14778/2536274.2536319 |
| ContentType | Journal Article |
| Copyright | The Author(s) 2019 This work is published under https://creativecommons.org/licenses/by/4.0/ (the “License”). Notwithstanding the ProQuest Terms and Conditions, you may use this content in accordance with the terms of the License. |
| Copyright_xml | – notice: The Author(s) 2019 – notice: This work is published under https://creativecommons.org/licenses/by/4.0/ (the “License”). Notwithstanding the ProQuest Terms and Conditions, you may use this content in accordance with the terms of the License. |
| DBID | C6C AAYXX CITATION JQ2 |
| DOI | 10.1007/s00778-019-00581-w |
| DatabaseName | Springer Nature OA Free Journals CrossRef ProQuest Computer Science Collection |
| DatabaseTitle | CrossRef ProQuest Computer Science Collection |
| DatabaseTitleList | ProQuest Computer Science Collection CrossRef |
| DeliveryMethod | fulltext_linktorsrc |
| Discipline | Computer Science |
| EISSN | 0949-877X |
| EndPage | 59 |
| ExternalDocumentID | 10_1007_s00778_019_00581_w |
| GrantInformation_xml | – fundername: Delft University of Technology |
| GroupedDBID | -4Z -59 -5G -BR -EM -Y2 -~C -~X .4S .86 .DC .VR 06D 0R~ 123 1N0 1SB 2.D 203 29R 2J2 2JN 2JY 2KG 2KM 2LR 2P1 2VQ 2~H 3-Y 30V 4.4 406 408 409 40D 40E 5QI 5VS 67Z 6NX 8TC 8UJ 95- 95. 95~ 96X AAAVM AABHQ AACDK AAHNG AAIAL AAJBT AAJKR AAKMM AALFJ AANZL AAOBN AARHV AARTL AASML AATNV AATVU AAUYE AAWCG AAWTV AAYFX AAYIU AAYQN AAYTO AAYZH ABAKF ABBBX ABBXA ABDZT ABECU ABFTD ABFTV ABHLI ABHQN ABJNI ABJOX ABKCH ABKTR ABMNI ABMQK ABNWP ABQBU ABQSL ABSXP ABTEG ABTHY ABTKH ABTMW ABULA ABWNU ABXPI ACAOD ACBXY ACDTI ACGFS ACHSB ACHXU ACKNC ACM ACMDZ ACMLO ACOKC ACOMO ACPIV ACZOJ ADHHG ADHIR ADIMF ADINQ ADKNI ADKPE ADL ADQRH ADRFC ADTPH ADURQ ADYFF ADZKW AEBTG AEBYY AEFIE AEFQL AEGAL AEGNC AEJHL AEJRE AEKMD AEMSY AENEX AENSD AEOHA AEPYU AESKC AETLH AEVLU AEXYK AFBBN AFEXP AFGCZ AFLOW AFQWF AFWIH AFWTZ AFWXC AFZKB AGAYW AGDGC AGGDS AGJBK AGMZJ AGQEE AGQMX AGWIL AGWZB AGYKE AHAVH AHBYD AHSBF AHYZX AIAKS AIGIU AIIXL AILAN AITGF AJBLW AJRNO AJZVZ ALMA_UNASSIGNED_HOLDINGS ALWAN AMKLP AMXSW AMYLF AMYQR AOCGG ARCSS ARMRJ ASPBG AVWKF AXYYD AYJHY AZFZN B-. BA0 BBWZM BDATZ BGNMA BSONS C6C CAG CCLIF COF CS3 CSCUP DDRTE DL5 DNIVK DPUIP DU5 EBLON EBS EDO EIOEI EJD ESBYG FEDTE FERAY FFXSO FIGPU FINBP FNLPD FRRFC FSGXE FWDCC GGCAI GGRSB GJIRD GNWQR GQ6 GQ7 GQ8 GUFHI GXS H13 HF~ HG5 HG6 HGAVV HMJXF HQYDN HRMNR HVGLF HZ~ I07 I09 IHE IJ- IKXTQ ITM IWAJR IXC IZIGR IZQ I~X I~Z J-C J0Z JBSCW JCJTX JZLTJ KDC KOV KOW LAS LHSKQ LLZTM M4Y MA- N2Q N9A NB0 NDZJH NPVJJ NQJWS NU0 O9- O93 O9G O9I O9J OAM P0- P19 P2P P9O PF0 PT4 PT5 QOK QOS R4E R89 R9I RHV RIG RNI RNS ROL RPX RSV RZK S16 S1Z S26 S27 S28 S3B SAP SCJ SCLPG SCO SDH SDM SHX SISQX SJYHP SNE SNPRN SNX SOHCF SOJ SPISZ SRMVM SSLCW STPWE SZN T13 T16 TSG TSK TSV TUC TUS U2A UG4 UOJIU UTJUX UZXMN VC2 VFIZW VXZ W23 W48 W7O WK8 YLTOR YZZ Z45 Z7R Z7X Z83 Z88 Z8M Z8R Z8W Z92 ZMTXR ~EX AAPKM AAYXX ABBRH ABDBE ABFSG ABRTQ ACSTC ADHKG AEFXT AEJOY AEZWR AFDZB AFFHD AFHIU AFKRA AFOHR AGQPQ AHPBZ AHWEU AIXLP AKRVB ARAPS ATHPR AYFIA BENPR BGLVJ CCPQU CITATION HCIFZ K7- PHGZM PHGZT PQGLB JQ2 |
| ID | FETCH-LOGICAL-c429t-db7e6bcc498084743098ee4707f8f04ac73e69ea077270968a75ca29f5b9b82d3 |
| IEDL.DBID | RSV |
| ISICitedReferencesCount | 51 |
| ISICitedReferencesURI | http://www.webofscience.com/api/gateway?GWVersion=2&SrcApp=Summon&SrcAuth=ProQuest&DestLinkType=CitingArticles&DestApp=WOS_CPL&KeyUT=000492650700001&url=https%3A%2F%2Fcvtisr.summon.serialssolutions.com%2F%23%21%2Fsearch%3Fho%3Df%26include.ft.matches%3Dt%26l%3Dnull%26q%3D |
| ISSN | 1066-8888 |
| IngestDate | Thu Sep 25 00:47:20 EDT 2025 Tue Nov 18 22:12:05 EST 2025 Sat Nov 29 03:17:17 EST 2025 Fri Feb 21 02:37:39 EST 2025 |
| IsDoiOpenAccess | true |
| IsOpenAccess | true |
| IsPeerReviewed | false |
| IsScholarly | true |
| Issue | 1 |
| Keywords | High bandwidth Survey Acceleration FPGA In-memory database |
| Language | English |
| LinkModel | DirectLink |
| MergedId | FETCHMERGED-LOGICAL-c429t-db7e6bcc498084743098ee4707f8f04ac73e69ea077270968a75ca29f5b9b82d3 |
| Notes | ObjectType-Article-1 SourceType-Scholarly Journals-1 ObjectType-Feature-2 content type line 14 |
| ORCID | 0000-0002-1077-1859 |
| OpenAccessLink | https://link.springer.com/10.1007/s00778-019-00581-w |
| PQID | 2348263374 |
| PQPubID | 2043708 |
| PageCount | 27 |
| ParticipantIDs | proquest_journals_2348263374 crossref_citationtrail_10_1007_s00778_019_00581_w crossref_primary_10_1007_s00778_019_00581_w springer_journals_10_1007_s00778_019_00581_w |
| PublicationCentury | 2000 |
| PublicationDate | 2020-01-01 |
| PublicationDateYYYYMMDD | 2020-01-01 |
| PublicationDate_xml | – month: 01 year: 2020 text: 2020-01-01 day: 01 |
| PublicationDecade | 2020 |
| PublicationPlace | Berlin/Heidelberg |
| PublicationPlace_xml | – name: Berlin/Heidelberg – name: New York |
| PublicationSubtitle | The International Journal on Very Large Data Bases |
| PublicationTitle | The VLDB journal |
| PublicationTitleAbbrev | The VLDB Journal |
| PublicationYear | 2020 |
| Publisher | Springer Berlin Heidelberg Springer Nature B.V |
| Publisher_xml | – name: Springer Berlin Heidelberg – name: Springer Nature B.V |
| References | ZivJLempelACompression of individual sequences via variable-rate codingIEEE Trans. Inf. Theory197824553053650746510.1109/TIT.1978.1055934 Czajkowski, T.S., Aydonat, U., Denisenko, D., Freeman, J., Kinsner, M., Neto, D., Wong, J., Yiannacouras, P., Singh, D.P.: From OpenCL to high-performance hardware on FPGAs. In: 22nd International Conference on Field Programmable Logic and Applications (FPL), pp. 531–534. IEEE (2012) Cong, J., Huang, M., Pan, P., Wu, D., Zhang, P.: Software infrastructure for enabling FPGA-based accelerations in data centers. In: Proceedings of the 2016 International Symposium on Low Power Electronics and Design, pp. 154–155. ACM (2016) Nikhil, R.: Bluespec System Verilog: efficient, correct RTL from high level specifications. In: Proceedings. Second ACM and IEEE International Conference on Formal Methods and Models for Co-design, 2004. MEMOCODE’04., pp. 69–70. IEEE (2004) Caulfield, A.M., Chung, E.S., Putnam, A., Angepat, H., Fowers, J., Haselman, M., Heil, S., Humphrey, M., Kaur, P., Kim, J.Y., et al.: A cloud-scale acceleration architecture. In: The 49th Annual IEEE/ACM International Symposium on Microarchitecture, p. 7. IEEE Press (2016) Qiao, Y.: An FPGA-based snappy decompressor-filter. Master’s Thesis, Delft University of Technology (2018) Benton, B.: CCIX, Gen-Z, OpenCAPI: overview and comparison. https://www.openfabrics.org/images/eventpresos/2017presentations/213_CCIXGen-Z_BBenton.pdf (2017). Accessed 3 June 2018 Liu, H.Y., Carloni, L.P.: On learning-based methods for design-space exploration with high-level synthesis. In: Proceedings of the 50th Annual Design Automation Conference, p. 50. ACM (2013) MuellerRTeubnerJAlonsoGData processing on FPGAsProc. VLDB Endow.20092191092110.14778/1687627.1687730 PCI-SIG: Specifications PCI-SIG. https://pcisig.com/specifications. Accessed 01 July 2019 Franklin, M., Chamberlain, R., Henrichs, M., Shands, B., White, J.: An architecture for fast processing of large unstructured data sets. In: IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings, pp. 280–287. IEEE (2004) BalkesenÇTeubnerJAlonsoGÖzsuMTMain-memory hash joins on modern processor architecturesIEEE Trans. Knowl. Data Eng.20152771754176610.1109/TKDE.2014.2313874 Marcelino, R., Neto, H.C., Cardoso, J.M.: Unbalanced FIFO sorting for FPGA-based systems. In: 16th IEEE International Conference on Electronics, Circuits, and Systems, 2009. ICECS 2009, pp. 431–434. IEEE (2009) Papaphilippou, P., Luk, W.: Accelerating database systems using FPGAs: a survey. In: 2018 28th International Conference on Field Programmable Logic and Applications (FPL), pp. 125–1255. IEEE (2018) Feist, T.: Vivado design suite. White Paper, vol. 5 (2012) Halstead, R.J., Sukhwani, B., Min, H., Thoennes, M., Dube, P., Asaad, S., Iyer, B.: Accelerating join operation for relational databases with FPGAs. In: 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines, pp. 17–20. IEEE (2013) OpenPOWER: SNAP framework hardware and software. https://github.com/open-power/snap/. Accessed 03 June 2018 Kinetica: Kinetica. http://www.kinetica.com/. Accessed 3 June 2018 Bartík, M., Ubik, S., Kubalik, P.: LZ4 compression algorithm on FPGA. In: IEEE International Conference on Electronics, Circuits, and Systems (ICECS), 2015, pp. 179–182. IEEE (2015) Balkesen, C., Teubner, J., Alonso, G., Özsu, M.T.: Main-memory hash joins on multi-core CPUs: tuning to the underlying hardware. In: IEEE 29th International Conference on Data Engineering (ICDE), 2013, pp. 362–373. IEEE (2013) StoneJEGoharaDShiGOpenCL: a parallel programming standard for heterogeneous computing systemsComput. Sci. Eng.2010121–3667310.1109/MCSE.2010.69 TeubnerJWoodsLNieCXLynx-an FPGA-based XML filter for hybrid XQuery processingACM Trans. Database Syst.201338423314140110.1145/2536800 AlbutiuMCKemperANeumannTMassively parallel sort-merge joins in main memory multi-core database systemsProc. VLDB Endow.20125101064107510.14778/2336664.2336678 Dennl, C., Ziener, D., Teich, J.: Acceleration of SQL restrictions and aggregations through FPGA-based dynamic partial reconfiguration. In: IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2013, pp. 25–28. IEEE (2013) Lang, H., Leis, V., Albutiu, M.C., Neumann, T., Kemper, A.: Massively parallel NUMA-aware hash joins. In: In Memory Data Management and Analysis, pp. 3–14. Springer (2015) Peltenburg, J., van Straten, J., Brobbel, M., Hofstee, H.P., Al-Ars, Z.: Supporting columnar in-memory formats on FPGA: the hardware design of fletcher for Apache Arrow. In: International Symposium on Applied Reconfigurable Computing, pp. 32–47. Springer (2019) Zhang, C., Chen, R., Prasanna, V.: High throughput large scale sorting on a CPU-FPGA heterogeneous platform. In: Parallel and Distributed Processing Symposium Workshops, 2016 IEEE International, pp. 148–155. IEEE (2016) Chung, E.S., Davis, J.D., Lee, J.: Linqits: big data on little clients. In: ACM SIGARCH Computer Architecture News, vol. 41, pp. 261–272. ACM (2013) WangWZhangMChenGJagadishHOoiBCTanKLDatabase meets deep learning: challenges and opportunitiesACM SIGMOD Rec.2016452172210.1145/3003665.3003669 KimCKaldeweyTLeeVWSedlarENguyenADSatishNChhuganiJDi BlasADubeyPSort vs. hash revisited: fast join implementation on modern multi-core CPUsProc. VLDB Endow.2009221378138910.14778/1687553.1687564 Mueller, R., Teubner, J.: FPGA: what’s in it for a database? In: Proceedings of the 2009 ACM SIGMOD International Conference on Management of Data, pp. 999–1004. ACM (2009) SalomonDData Compression: The Complete Reference2004BerlinSpringer1049.68061 Mulder, Y.: Feeding high-bandwidth streaming-based FPGA accelerators. Master’s Thesis, Delft University of Technology, Mekelweg 4, 2628 CD Delft, The Netherlands (2018) ZivJLempelAA universal algorithm for sequential data compressionIEEE Trans. Inf. Theory197723333734353021510.1109/TIT.1977.1055714 Cong, J., Fang, Z., Lo, M., Wang, H., Xu, J., Zhang, S.: Understanding performance differences of FPGAs and GPUs. In: 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 93–96. IEEE (2018) Sadoghi, M., Javed, R., Tarafdar, N., Singh, H., Palaniappan, R., Jacobsen, H.A.: Multi-query stream processing on FPGAs. In: 2012 IEEE 28th International Conference on Data Engineering, pp. 1229–1232. IEEE (2012) Wissolik, M., Zacher, D., Torza, A., Da, B.: Virtex UltraScale+ HBM FPGA: a revolutionary increase in memory performance. Xilinx Whitepaper (2017) Fang, J., Lee, J., Hofstee, H.P., Hidders, J.: Analyzing in-memory hash joins: granularity matters. In: Proceedings the 8th International Workshop on Accelerating Analytics and Data Management Systems Using Modern Processor and Storage Architectures, pp. 18–25 (2017) Wu, L., Barker, R.J., Kim, M.A., Ross, K.A.: Hardware-accelerated range partitioning. Columbia University Computer Science Technical Reports (2012) MuellerRTeubnerJAlonsoGSorting networks on FPGAsVLDB J.201221112310.1007/s00778-011-0232-z WoodsLIstvánZAlonsoGIbex: an intelligent storage engine with support for advanced SQL offloadingProc. VLDB Endow.201471196397410.14778/2732967.2732972 Thompto, B.: POWER9: processor for the cognitive era. In: Hot Chips 28 Symposium (HCS), 2016 IEEE, pp. 1–19. IEEE (2016) Srivastava, A., Chen, R., Prasanna, V.K., Chelmis, C.: A hybrid design for high performance large-scale sorting on FPGA. In: International Conference on ReConFigurable Computing and FPGAs (ReConFig), 2015, pp. 1–6. IEEE (2015) Intel, F.: SDK for OpenCL. Programming guide. UG-OCL002 31 (2016) MahajanDKimJKSacksJArdalanAKumarAEsmaeilzadehHIn-RDBMS hardware acceleration of advanced analyticsProc. VLDB Endow.201811111317133110.14778/3236187.3236188 Wirbel, L.: Xilinx SDAccel: a unified development environment for tomorrow’s data center. Technical Report, The Linley Group Inc. (2014) Lei, J., Chen, Y., Li, Y., Cong, J.: A high-throughput architecture for lossless decompression on FPGA designed using HLS. In: Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp. 277–277. ACM (2016) Saitoh, M., Elsayed, E.A., Van Chu, T., Mashimo, S., Kise, K.: A high-performance and cost-effective hardware merge sorter without feedback datapath. In: 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 197–204. IEEE (2018) Halstead, R.J., Absalyamov, I., Najjar, W.A., Tsotras, V.J.: FPGA-based Multithreading for In-Memory Hash Joins. In: CIDR (2015) Stuecheli, J.: A new standard for high performance memory, acceleration and networks. http://opencapi.org/2017/04/opencapi-new-standard-high-performance-memory-acceleration-networks/. Accessed 3 June 2018 Apache: Apache Arrow. https://arrow.apache.org/. Accessed 01 Mar 2019 Duhem, F., Muller, F., Lorenzini, P.: Farm: fast reconfiguration manager for reducing reconfiguration time overhead on fpga. In: International Symposium on Applied Reconfigurable Computing, pp. 253–260. Springer (2011) Casper, J., Olukotun, K.: Hardware acceleration of database operations. In: Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp. 151–160. ACM (2014) Gopal, V., Gulley, S.M., Guilford, J.D.: Technologies for efficient lz77-based data decompression (2017). US Patent App. 15/374,462 Abdelfattah, M.S., Hagiescu, A., Singh, D.: Gzip on a chip: high performance lossless data compression on fpgas using opencl. In: Proceedings of the International Workshop on OpenCL 2013 & 2014, p. 4. ACM (2014) AsanovicKBodikRDemmelJKeavenyTKeutzerKKubiatowiczJMorganNPattersonDSenKWawrzynekJA view of the parallel computing landscapeCommun. ACM20095210566710.1145/1562764.1562783 SukhwaniBMinHThoennesMDubePBrezzoBAsaadSDillenbergerDEDatabase analytics: a reconfigurable-computing approachIEEE Micro2014341192910.1109/MM.2013.107 Wenzel, L., Schmid, R., Martin, B., Plauth, M., Eberhardt, F., Polze, A.: Getting st D Koch (581_CR68) 2009; 2 581_CR50 581_CR54 JA Kahle (581_CR59) 2005; 49 581_CR47 581_CR46 581_CR45 581_CR44 581_CR49 581_CR48 S Zhang (581_CR147) 2013; 6 J Lee (581_CR72) 2017; 10 Y Yuan (581_CR143) 2013; 6 R Mueller (581_CR85) 2009; 2 581_CR43 581_CR42 581_CR41 LH Crockett (581_CR27) 2014 581_CR40 581_CR36 581_CR35 581_CR34 A Putnam (581_CR103) 2014; 42 581_CR33 581_CR39 581_CR38 581_CR37 J Teubner (581_CR128) 2013; 38 B Sukhwani (581_CR124) 2014; 34 581_CR32 581_CR31 581_CR30 B He (581_CR51) 2009; 34 581_CR25 581_CR24 581_CR23 581_CR22 581_CR29 581_CR28 JA Storer (581_CR122) 1982; 29 581_CR144 581_CR145 K Asanovic (581_CR7) 2009; 52 581_CR146 J Teubner (581_CR127) 2013; 5 581_CR148 581_CR21 581_CR20 TC Scofield (581_CR106) 2010; 12 MC Albutiu (581_CR3) 2012; 5 581_CR14 581_CR13 581_CR12 581_CR11 581_CR99 581_CR18 581_CR17 581_CR16 581_CR15 L Woods (581_CR139) 2014; 7 581_CR19 581_CR140 581_CR141 581_CR142 581_CR133 Cagri Balkesen (581_CR8) 2013; 7 581_CR134 581_CR90 581_CR136 B He (581_CR52) 2011; 4 581_CR137 581_CR138 581_CR94 581_CR93 581_CR92 C Kim (581_CR64) 2009; 2 581_CR98 581_CR97 581_CR96 581_CR95 581_CR89 581_CR88 Ç Balkesen (581_CR10) 2015; 27 581_CR130 581_CR131 581_CR123 581_CR125 581_CR126 581_CR83 581_CR129 581_CR82 581_CR81 581_CR80 R Mueller (581_CR87) 2012; 21 581_CR86 D Salomon (581_CR111) 2004 581_CR79 581_CR78 R Mueller (581_CR84) 2009; 2 581_CR77 J Ziv (581_CR149) 1977; 23 581_CR120 581_CR110 581_CR9 581_CR112 581_CR113 581_CR114 581_CR115 581_CR116 581_CR117 581_CR118 581_CR1 581_CR71 581_CR119 581_CR2 581_CR70 W Wang (581_CR132) 2016; 45 581_CR4 581_CR5 581_CR75 581_CR6 581_CR73 581_CR69 581_CR67 581_CR66 TA Welch (581_CR135) 1984; 17 581_CR100 581_CR101 581_CR102 581_CR104 581_CR105 M Heimel (581_CR53) 2013; 6 581_CR61 581_CR107 581_CR60 581_CR108 581_CR109 MB Lin (581_CR74) 2009; 17 581_CR65 581_CR63 D Mahajan (581_CR76) 2018; 11 581_CR62 581_CR58 581_CR57 R Nane (581_CR91) 2016; 35 581_CR56 581_CR55 J Cong (581_CR26) 2011; 30 J Ziv (581_CR150) 1978; 24 JE Stone (581_CR121) 2010; 12 |
| References_xml | – reference: BalkesenCagriAlonsoGustavoTeubnerJensÖzsuM. TamerMulti-core, main-memory joinsProceedings of the VLDB Endowment201371859610.14778/2732219.2732227 – reference: HeBLuMYangKFangRGovindarajuNKLuoQSanderPVRelational query coprocessing on graphics processorsACM Trans. Database Syst.20093442110.1145/1620585.1620588 – reference: Song, W., Koch, D., Luján, M., Garside, J.: Parallel hardware merge sorter. In: IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2016, pp. 95–102. IEEE (2016) – reference: Chen, R., Prasanna, V.K.: Accelerating equi-join on a CPU-FPGA heterogeneous platform. In: 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2016 IEEE, pp. 212–219. IEEE (2016) – reference: Zhang, C., Chen, R., Prasanna, V.: High throughput large scale sorting on a CPU-FPGA heterogeneous platform. In: Parallel and Distributed Processing Symposium Workshops, 2016 IEEE International, pp. 148–155. IEEE (2016) – reference: Abdelfattah, M.S., Hagiescu, A., Singh, D.: Gzip on a chip: high performance lossless data compression on fpgas using opencl. In: Proceedings of the International Workshop on OpenCL 2013 & 2014, p. 4. ACM (2014) – reference: Gupta, P.: Accelerating datacenter workloads. In: 26th International Conference on Field Programmable Logic and Applications (FPL) (2016) – reference: Sukhwani, B., Thoennes, M., Min, H., Dube, P., Brezzo, B., Asaad, S., Dillenberger, D.: Large payload streaming database sort and projection on FPGAs. In: 25th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), 2013, pp. 25–32. IEEE (2013) – reference: Zacharopoulos, G., Barbon, A., Ansaloni, G., Pozzi, L.: Machine learning approach for loop unrolling factor prediction in high level synthesis. In: 2018 International Conference on High Performance Computing & Simulation (HPCS), pp. 91–97. IEEE (2018) – reference: Cong, J., Huang, M., Pan, P., Wu, D., Zhang, P.: Software infrastructure for enabling FPGA-based accelerations in data centers. In: Proceedings of the 2016 International Symposium on Low Power Electronics and Design, pp. 154–155. ACM (2016) – reference: Mahony, A.O., Tringale, A., Duquette, J.J., O’carroll, P.: Reduction of execution stalls of LZ4 decompression via parallelization. US Patent 9,973,210 (2018) – reference: Sommer, L., Korinth, J., Koch, A.: OpenMP device offloading to FPGA accelerators. In: 2017 IEEE 28th International Conference on Application-specific Systems, Architectures and Processors (ASAP), pp. 201–205. IEEE (2017) – reference: Salami, B., Arcas-Abella, O., Sonmez, N., Unsal, O., Kestelman, A.C.: Accelerating hash-based query processing operations on FPGAs by a hash table caching technique. In: Latin American High Performance Computing Conference, pp. 131–145. Springer (2016) – reference: Koch, D., Torresen, J.: FPGASort: a high performance sorting architecture exploiting run-time reconfiguration on FPGAs for large problem sorting. In: Proceedings of the 19th ACM/SIGDA International Symposium on Field Programmable Gate Arrays, pp. 45–54. ACM (2011) – reference: SalomonDData Compression: The Complete Reference2004BerlinSpringer1049.68061 – reference: HeBYuJXHigh-throughput transaction executions on graphics processorsProc. VLDB Endow.20114531432510.14778/1952376.1952381 – reference: Nikhil, R.: Bluespec System Verilog: efficient, correct RTL from high level specifications. In: Proceedings. Second ACM and IEEE International Conference on Formal Methods and Models for Co-design, 2004. MEMOCODE’04., pp. 69–70. IEEE (2004) – reference: HeimelMSaeckerMPirkHManegoldSMarklVHardware-oblivious parallelism for in-memory column-storesProc. VLDB Endow.20136970972010.14778/2536360.2536370 – reference: Stephenson, M., Amarasinghe, S.: Predicting unroll factors using supervised classification. In: Proceedings of the International Symposium on Code Generation and Optimization, pp. 123–134. IEEE Computer Society (2005) – reference: StoneJEGoharaDShiGOpenCL: a parallel programming standard for heterogeneous computing systemsComput. Sci. Eng.2010121–3667310.1109/MCSE.2010.69 – reference: Halstead, R.J., Sukhwani, B., Min, H., Thoennes, M., Dube, P., Asaad, S., Iyer, B.: Accelerating join operation for relational databases with FPGAs. In: 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines, pp. 17–20. IEEE (2013) – reference: Arcas-Abella, O., Ndu, G., Sonmez, N., Ghasempour, M., Armejach, A., Navaridas, J., Song, W., Mawer, J., Cristal, A., Luján, M.: An empirical evaluation of high-level synthesis languages and tools for database acceleration. In: 2014 24th International Conference on Field Programmable Logic and Applications (FPL), pp. 1–8. IEEE (2014) – reference: Kruger, F.: CPU bandwidth: the worrisome 2020 trend. https://blog.westerndigital.com/cpu-bandwidth-the-worrisome-2020-trend/ (March 23, 2016). Accessed 03 May 2017 – reference: IBM: IBM power advanced compute (AC) AC922 server. https://www-01.ibm.com/common/ssi/cgi-bin/ssialias?htmlfid=POD03143USEN&. Accessed 03 Sept 2018 – reference: Katz, P.W.: String searcher, and compressor using same. US Patent 5,051,745 (1991) – reference: Cabrera, D., Martorell, X., Gaydadjiev, G., Ayguade, E., Jiménez-González, D.: OpenMP extensions for FPGA accelerators. In: 2009 International Symposium on Systems, Architectures, Modeling, and Simulation, pp. 17–24. IEEE (2009) – reference: LeeJKimHYooSChoiKHofsteeHPNamGJNutterMRJamsekDExtraV: boosting graph processing near storage with a coherent acceleratorProc. VLDB Endow.201710121706171710.14778/3137765.3137776 – reference: Salami, B., Arcas-Abella, O., Sonmez, N.: HATCH: hash table caching in hardware for efficient relational join on FPGA. In: IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2015, pp. 163–163. IEEE (2015) – reference: Kara, K., Giceva, J., Alonso, G.: FPGA-based data partitioning. In: Proceedings of the 2017 ACM International Conference on Management of Data, pp. 433–445. ACM (2017) – reference: Mueller, R., Teubner, J.: FPGA: what’s in it for a database? In: Proceedings of the 2009 ACM SIGMOD International Conference on Management of Data, pp. 999–1004. ACM (2009) – reference: Caulfield, A.M., Chung, E.S., Putnam, A., Angepat, H., Fowers, J., Haselman, M., Heil, S., Humphrey, M., Kaur, P., Kim, J.Y., et al.: A cloud-scale acceleration architecture. In: The 49th Annual IEEE/ACM International Symposium on Microarchitecture, p. 7. IEEE Press (2016) – reference: Dennl, C., Ziener, D., Teich, J.: Acceleration of SQL restrictions and aggregations through FPGA-based dynamic partial reconfiguration. In: IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2013, pp. 25–28. IEEE (2013) – reference: LinMBChangYYA New Architecture of a Two-Stage Lossless Data Compression and Decompression AlgorithmIEEE Trans. VLSI Syst.20091791297130310.1109/TVLSI.2008.2003512 – reference: Wirbel, L.: Xilinx SDAccel: a unified development environment for tomorrow’s data center. Technical Report, The Linley Group Inc. (2014) – reference: TeubnerJWoodsLNieCXLynx-an FPGA-based XML filter for hybrid XQuery processingACM Trans. Database Syst.201338423314140110.1145/2536800 – reference: Mashimo, S., Van Chu, T., Kise, K.: High-performance hardware merge sorter. In: IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2017, pp. 1–8. IEEE (2017) – reference: PutnamACaulfieldAMChungESChiouDConstantinidesKDemmeJEsmaeilzadehHFowersJGopalGPGrayJA reconfigurable fabric for accelerating large-scale datacenter servicesACM SIGARCH Comput. Archit. News2014423132410.1145/2678373.2665678 – reference: Balkesen, C., Teubner, J., Alonso, G., Özsu, M.T.: Main-memory hash joins on multi-core CPUs: tuning to the underlying hardware. In: IEEE 29th International Conference on Data Engineering (ICDE), 2013, pp. 362–373. IEEE (2013) – reference: Kim, J., Kim, Y.: HBM: Memory Solution for Bandwidth-Hungry Processors. https://doc.xdevs.com/doc/Memory/HBM/Hynix/HC26.11.310-HBM-Bandwidth-Kim-Hynix-Hot%20Chips%20HBM%202014%20v7.pdf (2014). Accessed 29 Aug 2018 – reference: Nyberg, C., Shah, M., Govindaraju, N.: Sort benchmark home page. http://sortbenchmark.org/. Accessed 03 Aug 2018 – reference: Mulder, Y.: Feeding high-bandwidth streaming-based FPGA accelerators. Master’s Thesis, Delft University of Technology, Mekelweg 4, 2628 CD Delft, The Netherlands (2018) – reference: Breß, S., Heimel, M., Siegmund, N., Bellatreche, L., Saake, G.: GPU-accelerated database systems: survey and open challenges. In: Transactions on Large-Scale Data-and Knowledge-Centered Systems XV, pp. 1–35. Springer (2014) – reference: Greenberg, M.: LPDDR3 and LPDDR4: How Low-Power DRAM Can Be Used in High-Bandwidth Applications. https://www.jedec.org/sites/default/files/M_Greenberg_Mobile%20Forum_May_%202013_Final.pdf (2013). Accessed 17 Oct 2017 – reference: Mostak, T.: An overview of MapD (massively parallel database). White Paper, Massachusetts Institute of Technology (2013) – reference: CongJLiuBNeuendorfferSNogueraJVissersKZhangZHigh-level synthesis for FPGAs: from prototyping to deploymentIEEE Trans. Comput. Aided Des. Integr. Circuits Syst.201130447349110.1109/TCAD.2011.2110592 – reference: Google: Snappy. https://github.com/google/snappy/. Accessed 03 June 2018 – reference: Liu, H.Y., Carloni, L.P.: On learning-based methods for design-space exploration with high-level synthesis. In: Proceedings of the 50th Annual Design Automation Conference, p. 50. ACM (2013) – reference: BalkesenÇTeubnerJAlonsoGÖzsuMTMain-memory hash joins on modern processor architecturesIEEE Trans. Knowl. Data Eng.20152771754176610.1109/TKDE.2014.2313874 – reference: TeubnerJWoodsLData processing on FPGAsSynth. Lect. Data Manag.201352111810.2200/S00514ED1V01Y201306DTM035 – reference: Gopal, V., Guilford, J.D., Yap, K.S., Gulley, S.M., Wolrich, G.M.: Systems, methods, and apparatuses for decompression using hardware and software (2017). US Patent 9,614,544 – reference: Dan Bouvier Jim Gibney, A.B., Arora, S.: Delivering a New Level of Visual Performance in an SoC. https://www.slideshare.net/AMD/delivering-a-new-level-of-visual-performance-in-an-soc-amd-raven-rdige-apu (2018). Accessed 15 Oct 2018 – reference: Singh, D.P., Czajkowski, T.S., Ling, A.: Harnessing the power of FPGAs using altera’s OpenCL compiler. In: Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, pp. 5–6. ACM (2013) – reference: Qiao, W., Du, J., Fang, Z., Wang, L., Lo, M., Chang, M.C.F., Cong, J.: High-throughput lossless compression on tightly coupled CPU-FPGA platforms. In: FPGA, p. 291 (2018) – reference: Agarwal, K.B., Hofstee, H.P., Jamsek, D.A., Martin, A.K.: High bandwidth decompression of variable length encoded data streams. US Patent 8,824,569 (2014) – reference: SukhwaniBMinHThoennesMDubePBrezzoBAsaadSDillenbergerDEDatabase analytics: a reconfigurable-computing approachIEEE Micro2014341192910.1109/MM.2013.107 – reference: ZivJLempelAA universal algorithm for sequential data compressionIEEE Trans. Inf. Theory197723333734353021510.1109/TIT.1977.1055714 – reference: Kara, K., Alonso, G.: Fast and robust hashing for database operators. In: 26th International Conference on Field Programmable Logic and Applications (FPL), 2016, pp. 1–4. IEEE (2016) – reference: WoodsLIstvánZAlonsoGIbex: an intelligent storage engine with support for advanced SQL offloadingProc. VLDB Endow.201471196397410.14778/2732967.2732972 – reference: Czajkowski, T.S., Aydonat, U., Denisenko, D., Freeman, J., Kinsner, M., Neto, D., Wong, J., Yiannacouras, P., Singh, D.P.: From OpenCL to high-performance hardware on FPGAs. In: 22nd International Conference on Field Programmable Logic and Applications (FPL), pp. 531–534. IEEE (2012) – reference: Napatech: Napatech SmartNIC solution for hardware offload. https://www.napatech.com/support/resources/solution-descriptions/napatech-smartnic-solution-for-hardware-offload/. Accessed 28 Apr 2019 – reference: PCI-SIG: Specifications PCI-SIG. https://pcisig.com/specifications. Accessed 01 July 2019 – reference: WangWZhangMChenGJagadishHOoiBCTanKLDatabase meets deep learning: challenges and opportunitiesACM SIGMOD Rec.2016452172210.1145/3003665.3003669 – reference: Saitoh, M., Elsayed, E.A., Van Chu, T., Mashimo, S., Kise, K.: A high-performance and cost-effective hardware merge sorter without feedback datapath. In: 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 197–204. IEEE (2018) – reference: KochDBeckhoffCTeichJHardware decompression techniques for FPGA-based embedded systemsACM Trans. Reconf. Technol. Syst.200922910.1145/1534916.1534919 – reference: Pilato, C., Ferrandi, F.: Bambu: a modular framework for the high level synthesis of memory-intensive applications. In: 2013 23rd International Conference on Field programmable Logic and Applications, pp. 1–4. IEEE (2013) – reference: Fang, J., Chen, J., Lee, J., Al-Ars, Z., Hofstee, H.P.: A fine-grained parallel snappy decompressor for FPGAs using a relaxed execution model. In: 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 335–335. IEEE (2019) – reference: Canis, A., Choi, J., Aldham, M., Zhang, V., Kammoona, A., Anderson, J.H., Brown, S., Czajkowski, T.: LegUp: high-level synthesis for FPGA-based processor/accelerator systems. In: Proceedings of the 19th ACM/SIGDA International Symposium on Field Programmable Gate Arrays, pp. 33–36. ACM (2011) – reference: OpenPOWER: SNAP framework hardware and software. https://github.com/open-power/snap/. Accessed 03 June 2018 – reference: Stuecheli, J.: A new standard for high performance memory, acceleration and networks. http://opencapi.org/2017/04/opencapi-new-standard-high-performance-memory-acceleration-networks/. Accessed 3 June 2018 – reference: MahajanDKimJKSacksJArdalanAKumarAEsmaeilzadehHIn-RDBMS hardware acceleration of advanced analyticsProc. VLDB Endow.201811111317133110.14778/3236187.3236188 – reference: Wenzel, L., Schmid, R., Martin, B., Plauth, M., Eberhardt, F., Polze, A.: Getting started with CAPI SNAP: hardware development for software engineers. In: European Conference on Parallel Processing, pp. 187–198. Springer (2018) – reference: Ouyang, J., Qi, W., Yong, W., Tu, Y., Wang, J., Jia, B.: SDA: software-defined accelerator for general-purpose distributed big data analysis system. In: Hot Chips: A Symposium on High Performance Chips, Hotchips (2016) – reference: Srivastava, A., Chen, R., Prasanna, V.K., Chelmis, C.: A hybrid design for high performance large-scale sorting on FPGA. In: International Conference on ReConFigurable Computing and FPGAs (ReConFig), 2015, pp. 1–6. IEEE (2015) – reference: Cong, J., Huang, M., Wu, D., Yu, C.H.: heterogeneous datacenters: options and opportunities. In: Proceedings of the 53rd Annual Design Automation Conference, p. 16. ACM (2016) – reference: Thompto, B.: POWER9: processor for the cognitive era. In: Hot Chips 28 Symposium (HCS), 2016 IEEE, pp. 1–19. IEEE (2016) – reference: Francisco, P., et al.: The Netezza data appliance architecture: a platform for high performance data warehousing and analytics. http://www.ibmbigdatahub.com/sites/default/files/document/redguide_2011.pdf (2011). Accessed 3 June 2018 – reference: Fang, J., Chen, J., Al-Ars, Z., Hofstee, P., Hidders, J.: A high-bandwidth Snappy decompressor in reconfigurable logic: work-in-progress. In: Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, pp. 16:1–16:2. IEEE Press (2018) – reference: Feist, T.: Vivado design suite. White Paper, vol. 5 (2012) – reference: Benton, B.: CCIX, Gen-Z, OpenCAPI: overview and comparison. https://www.openfabrics.org/images/eventpresos/2017presentations/213_CCIXGen-Z_BBenton.pdf (2017). Accessed 3 June 2018 – reference: Van Lunteren, J., Rohrer, J., Atasu, K., Hagleitner, C.: Regular expression acceleration at multiple tens of Gb/s. In: 1st Workshop on Accelerators for High-Performance Architectures in Conjunction with ICS (2009) – reference: KimCKaldeweyTLeeVWSedlarENguyenADSatishNChhuganiJDi BlasADubeyPSort vs. hash revisited: fast join implementation on modern multi-core CPUsProc. VLDB Endow.2009221378138910.14778/1687553.1687564 – reference: Xilinx: GZIP/ZLIB/Deflate data compression core. http://www.cast-inc.com/ip-cores/data/zipaccel-d/cast-zipaccel-d-x.pdf (2016). Accessed 03 Aug 2018 – reference: Nallatech: OpenCAPI enabled FPGAs—the perfect bridge to a data centric world. https://openpowerfoundation.org/wp-content/uploads/2018/10/Allan-Cantle.Nallatech-Presentation-2018-OPF-Summit_Amsterdam-presentation.pdf (2018). Accessed 25 Oct 2018 – reference: Halstead, R.J., Absalyamov, I., Najjar, W.A., Tsotras, V.J.: FPGA-based Multithreading for In-Memory Hash Joins. In: CIDR (2015) – reference: Blanas, S., Li, Y., Patel, J.M.: Design and evaluation of main memory hash join algorithms for multi-core CPUs. In: Proceedings of the 2011 ACM SIGMOD International Conference on Management of data, pp. 37–48. ACM (2011) – reference: Peltenburg, J., van Straten, J., Brobbel, M., Hofstee, H.P., Al-Ars, Z.: Supporting columnar in-memory formats on FPGA: the hardware design of fletcher for Apache Arrow. In: International Symposium on Applied Reconfigurable Computing, pp. 32–47. Springer (2019) – reference: Marcelino, R., Neto, H.C., Cardoso, J.M.: Unbalanced FIFO sorting for FPGA-based systems. In: 16th IEEE International Conference on Electronics, Circuits, and Systems, 2009. ICECS 2009, pp. 431–434. IEEE (2009) – reference: Sukhwani, B., Min, H., Thoennes, M., Dube, P., Iyer, B., Brezzo, B., Dillenberger, D., Asaad, S.: Database analytics acceleration using FPGAs. In: Proceedings of the 21st international conference on Parallel architectures and compilation techniques, pp. 411–420. ACM (2012) – reference: Kickfire: Kickfire. http://www.kickfire.com. Accessed 3 June 2018 – reference: Apache: Apache Arrow. https://arrow.apache.org/. Accessed 01 Mar 2019 – reference: AsanovicKBodikRDemmelJKeavenyTKeutzerKKubiatowiczJMorganNPattersonDSenKWawrzynekJA view of the parallel computing landscapeCommun. ACM20095210566710.1145/1562764.1562783 – reference: Zeng, X.: FPGA-based high throughput merge sorter. Master’s Thesis, Delft University of Technology (2018) – reference: Xilinx: UltraScale FPGA product tables and product selection guide. https://www.xilinx.com/support/documentation/selection-guides/ultrascale-plus-fpga-product-selection-guide.pdf (2018). Accessed 03 Sept 2018 – reference: Bartík, M., Ubik, S., Kubalik, P.: LZ4 compression algorithm on FPGA. In: IEEE International Conference on Electronics, Circuits, and Systems (ICECS), 2015, pp. 179–182. IEEE (2015) – reference: Papaphilippou, P., Luk, W.: Accelerating database systems using FPGAs: a survey. In: 2018 28th International Conference on Field Programmable Logic and Applications (FPL), pp. 125–1255. IEEE (2018) – reference: Apache: Apache Parquet. http://parquet.apache.org/. Accessed 01 Dec 2018 – reference: NaneRSimaVMPilatoCChoiJFortBCanisAChenYTHsiaoHBrownSFerrandiFA survey and evaluation of FPGA high-level synthesis toolsIEEE Trans. Comput. Aided Des. Integr. Circuits Syst.201635101591160410.1109/TCAD.2015.2513673 – reference: Lang, H., Leis, V., Albutiu, M.C., Neumann, T., Kemper, A.: Massively parallel NUMA-aware hash joins. In: In Memory Data Management and Analysis, pp. 3–14. Springer (2015) – reference: Mellanox Technologies: Mellanox Innova™-2 flex open programmable SmartNIC. http://www.mellanox.com/page/products_dyn?product_family=276&mtag=programmable_adapter_cards_innova2flex. Accessed 28 Apr 2019 – reference: StorerJASzymanskiTGData compression via textual substitutionJ. ACM198229492895167425210.1145/322344.322346 – reference: Casper, J., Olukotun, K.: Hardware acceleration of database operations. In: Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp. 151–160. ACM (2014) – reference: David, H., Fallin, C., Gorbatov, E., Hanebutte, U.R., Mutlu, O.: Memory power management via dynamic voltage/frequency scaling. In: Proceedings of the 8th ACM international conference on Autonomic computing, pp. 31–40. ACM (2011) – reference: Fossum, G.C., Wang, T., Hofstee, H.P.: A 64GB sort at 28GB/s on a 4-GPU POWER9 node for 16-byte records with uniformly distributed 8-byte keys. In: Proc. International Workshop on OpenPOWER for HPC. Frankfurt, Germany (2018) – reference: Watanabe, Y., Lee, J., Boku, T., Sato, M.: Trade-off of offloading to FPGA in OpenMP task-based programming. In: International Workshop on OpenMP, pp. 96–110. Springer (2018) – reference: Wu, L., Barker, R.J., Kim, M.A., Ross, K.A.: Hardware-accelerated range partitioning. Columbia University Computer Science Technical Reports (2012) – reference: Chung, E.S., Davis, J.D., Lee, J.: Linqits: big data on little clients. In: ACM SIGARCH Computer Architecture News, vol. 41, pp. 261–272. ACM (2013) – reference: Sidler, D., István, Z., Owaida, M., Kara, K., Alonso, G.: doppioDB: a hardware accelerated database. In: Proceedings of the 2017 ACM International Conference on Management of Data, pp. 1659–1662. ACM (2017) – reference: Sharma, D.D.: Compute express link. https://docs.wixstatic.com/ugd/0c1418_d9878707bbb7427786b70c3c91d5fbd1.pdf (2019). Accessed 15 Apr 2019 – reference: Huebner, M., Ullmann, M., Weissel, F., Becker, J.: Real-time configuration code decompression for dynamic FPGA self-reconfiguration. In: Parallel and Distributed Processing Symposium, 2004. Proceedings. 18th International, p. 138. IEEE (2004) – reference: Duhem, F., Muller, F., Lorenzini, P.: Farm: fast reconfiguration manager for reducing reconfiguration time overhead on fpga. In: International Symposium on Applied Reconfigurable Computing, pp. 253–260. Springer (2011) – reference: Kocberber, O., Grot, B., Picorel, J., Falsafi, B., Lim, K., Ranganathan, P.: Meet the Walkers. PROC of the 46th MICRO pp. 1–12 (2013) – reference: Franklin, M., Chamberlain, R., Henrichs, M., Shands, B., White, J.: An architecture for fast processing of large unstructured data sets. In: IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings, pp. 280–287. IEEE (2004) – reference: WelchTAA technique for high-performance data compressionComputer198417681910.1109/MC.1984.1659158 – reference: István, Z.: The glass half full: using programmable hardware accelerators in analytics. IEEE Data Eng. Bull. 42(1), 49–60 (2019). http://sites.computer.org/debull/A19mar/p49.pdf – reference: Lei, J., Chen, Y., Li, Y., Cong, J.: A high-throughput architecture for lossless decompression on FPGA designed using HLS. In: Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp. 277–277. ACM (2016) – reference: CrockettLHElliotRAEnderwitzMAStewartRWThe Zynq Book: Embedded Processing with the Arm Cortex-A9 on the Xilinx Zynq-7000 All Programmable Soc2014GlasgowStrathclyde Academic Media – reference: Sidler, D., István, Z., Owaida, M., Alonso, G.: Accelerating pattern matching queries in hybrid CPU-FPGA architectures. In: Proceedings of the 2017 ACM International Conference on Management of Data, pp. 403–415. ACM (2017) – reference: Intel, F.: SDK for OpenCL. Programming guide. UG-OCL002 31 (2016) – reference: ZivJLempelACompression of individual sequences via variable-rate codingIEEE Trans. Inf. Theory197824553053650746510.1109/TIT.1978.1055934 – reference: Gopal, V., Gulley, S.M., Guilford, J.D.: Technologies for efficient lz77-based data decompression (2017). US Patent App. 15/374,462 – reference: Mueller, R., Teubner, J., Alonso, G.: Glacier: a query-to-hardware compiler. In: Proceedings of the 2010 ACM SIGMOD International Conference on Management of Data, pp. 1159–1162. ACM (2010) – reference: Fowers, J., Kim, J.Y., Burger, D., Hauck, S.: A scalable high-bandwidth architecture for lossless compression on FPGAs. In: IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2015, pp. 52–59. IEEE (2015) – reference: ScofieldTCDelmericoJAChaudharyVValenteGXtremeData dbX: an FPGA-based data warehouse applianceComput. Sci. Eng.2010124667310.1109/MCSE.2010.93 – reference: Wissolik, M., Zacher, D., Torza, A., Da, B.: Virtex UltraScale+ HBM FPGA: a revolutionary increase in memory performance. Xilinx Whitepaper (2017) – reference: Ueda, T., Ito, M., Ohara, M.: A dynamically reconfigurable equi-joiner on FPGA. IBM Technical Report RT0969 (2015) – reference: ZhangSHeJHeBLuMOmnidb: towards portable and efficient query processing on parallel cpu/gpu architecturesProc. VLDB Endow.20136121374137710.14778/2536274.2536319 – reference: AlbutiuMCKemperANeumannTMassively parallel sort-merge joins in main memory multi-core database systemsProc. VLDB Endow.20125101064107510.14778/2336664.2336678 – reference: Fang, J., Lee, J., Hofstee, H.P., Hidders, J.: Analyzing in-memory hash joins: granularity matters. In: Proceedings the 8th International Workshop on Accelerating Analytics and Data Management Systems Using Modern Processor and Storage Architectures, pp. 18–25 (2017) – reference: MuellerRTeubnerJAlonsoGStreams on wires: a query compiler for FPGAsProc. VLDB Endow.20092122924010.14778/1687627.1687654 – reference: Collet, Y., et al.: Lz4: extremely fast compression algorithm. https://code.google.com (2013). Accessed 3 June 2018 – reference: YuanYLeeRZhangXThe Yin and Yang of processing data warehousing queries on GPU devicesProc. VLDB Endow.201361081782810.14778/2536206.2536210 – reference: Batcher, K.E.: Sorting networks and their applications. In: Proceedings of the April 30–May 2, 1968, Spring Joint Computer Conference, pp. 307–314. ACM (1968) – reference: Sadoghi, M., Javed, R., Tarafdar, N., Singh, H., Palaniappan, R., Jacobsen, H.A.: Multi-query stream processing on FPGAs. In: 2012 IEEE 28th International Conference on Data Engineering, pp. 1229–1232. IEEE (2012) – reference: Mueller, R., Teubner, J.: FPGAs: a new point in the database design space. In: Proceedings of the 13th International Conference on Extending Database Technology, pp. 721–723. ACM (2010) – reference: KahleJADayMNHofsteeHPJohnsCRMaeurerTRShippyDIntroduction to the cell multiprocessorIBM J. Res. Dev.2005494.558960410.1147/rd.494.0589 – reference: Ghodsnia, P., et al.: An in-GPU-memory column-oriented database for processing analytical workloads. In: The VLDB Ph.D. Workshop. VLDB Endowment, vol. 1 (2012) – reference: MuellerRTeubnerJAlonsoGData processing on FPGAsProc. VLDB Endow.20092191092110.14778/1687627.1687730 – reference: Kinetica: Kinetica. http://www.kinetica.com/. Accessed 3 June 2018 – reference: Qiao, Y.: An FPGA-based snappy decompressor-filter. Master’s Thesis, Delft University of Technology (2018) – reference: Zhou, X., Ito, Y., Nakano, K.: An efficient implementation of LZW decompression in the FPGA. In: IEEE International Parallel and Distributed Processing Symposium Workshops, 2016, pp. 599–607. IEEE (2016) – reference: Nane, R., Sima, V.M., Olivier, B., Meeuws, R., Yankova, Y., Bertels, K.: DWARV 2.0: a CoSy-based C-to-VHDL hardware compiler. In: 22nd International Conference on Field Programmable Logic and Applications (FPL), pp. 619–622. IEEE (2012) – reference: PCI-SIG: PCI-SIG ®\documentclass[12pt]{minimal} \usepackage{amsmath} \usepackage{wasysym} \usepackage{amsfonts} \usepackage{amssymb} \usepackage{amsbsy} \usepackage{mathrsfs} \usepackage{upgreek} \setlength{\oddsidemargin}{-69pt} \begin{document}$$\textregistered $$\end{document} announces upcoming PCI express ®\documentclass[12pt]{minimal} \usepackage{amsmath} \usepackage{wasysym} \usepackage{amsfonts} \usepackage{amssymb} \usepackage{amsbsy} \usepackage{mathrsfs} \usepackage{upgreek} \setlength{\oddsidemargin}{-69pt} \begin{document}$$\textregistered $$\end{document} 6.0 specification to reach 64 GT/s. https://www.businesswire.com/news/home/20190618005945/en/PCI-SIG%C2%AE-Announces-Upcoming-PCI-Express%C2%AE-6.0-Specification. Accessed 01 July 2019 – reference: Cong, J., Fang, Z., Lo, M., Wang, H., Xu, J., Zhang, S.: Understanding performance differences of FPGAs and GPUs. In: 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), pp. 93–96. IEEE (2018) – reference: Wang, Z., He, B., Zhang, W.: A study of data partitioning on OpenCL-based FPGAs. In: 2015 25th International Conference on Field Programmable Logic and Applications (FPL), pp. 1–8. IEEE (2015) – reference: Sitaridi, E., Mueller, R., Kaldewey, T., Lohman, G., Ross, K.A.: Massively-parallel lossless data decompression. In: 2016 45th International Conference on Parallel Processing (ICPP), pp. 242–247. IEEE (2016) – reference: Fang, J., et al.: Adopting OpenCAPI for high bandwidth database accelerators. In: 3rd International Workshop on Heterogeneous High-Performance Reconfigurable Computing (2017) – reference: Owaida, M., Sidler, D., Kara, K., Alonso, G.: Centaur: a framework for hybrid CPU-FPGA databases. In: IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2017, pp. 211–218. IEEE (2017) – reference: Deutsch, P.: GZIP file format specification version 4.3. Technical Report, RFC Editor (1996) – reference: MuellerRTeubnerJAlonsoGSorting networks on FPGAsVLDB J.201221112310.1007/s00778-011-0232-z – reference: Jang, H., Kim, C., Lee, J.W.: Practical speculative parallelization of variable-length decompression algorithms. In: ACM SIGPLAN Notices, vol. 48, pp. 55–64. ACM (2013) – ident: 581_CR142 – ident: 581_CR81 – volume: 4 start-page: 314 issue: 5 year: 2011 ident: 581_CR52 publication-title: Proc. VLDB Endow. doi: 10.14778/1952376.1952381 – ident: 581_CR19 doi: 10.1109/MICRO.2016.7783710 – ident: 581_CR46 – ident: 581_CR5 – volume: 2 start-page: 1378 issue: 2 year: 2009 ident: 581_CR64 publication-title: Proc. VLDB Endow. doi: 10.14778/1687553.1687564 – ident: 581_CR113 doi: 10.1145/3035918.3035954 – ident: 581_CR125 doi: 10.1145/2370816.2370874 – ident: 581_CR66 – ident: 581_CR30 doi: 10.1145/1998582.1998590 – volume: 21 start-page: 1 issue: 1 year: 2012 ident: 581_CR87 publication-title: VLDB J. doi: 10.1007/s00778-011-0232-z – volume-title: Data Compression: The Complete Reference year: 2004 ident: 581_CR111 – ident: 581_CR89 – ident: 581_CR75 doi: 10.1145/2463209.2488795 – ident: 581_CR14 doi: 10.1145/1989323.1989328 – ident: 581_CR21 doi: 10.1145/2508148.2485945 – ident: 581_CR37 – ident: 581_CR55 – ident: 581_CR108 doi: 10.1109/FCCM.2018.00038 – ident: 581_CR145 – volume: 17 start-page: 1297 issue: 9 year: 2009 ident: 581_CR74 publication-title: IEEE Trans. VLSI Syst. doi: 10.1109/TVLSI.2008.2003512 – volume: 38 start-page: 23 issue: 4 year: 2013 ident: 581_CR128 publication-title: ACM Trans. Database Syst. doi: 10.1145/2536800 – volume: 5 start-page: 1064 issue: 10 year: 2012 ident: 581_CR3 publication-title: Proc. VLDB Endow. doi: 10.14778/2336664.2336678 – ident: 581_CR49 – ident: 581_CR20 – ident: 581_CR40 – volume: 12 start-page: 66 issue: 4 year: 2010 ident: 581_CR106 publication-title: Comput. Sci. Eng. doi: 10.1109/MCSE.2010.93 – ident: 581_CR130 – ident: 581_CR1 doi: 10.1145/2664666.2664670 – ident: 581_CR93 – ident: 581_CR102 doi: 10.1109/FPL.2013.6645550 – ident: 581_CR136 doi: 10.1007/978-3-030-10549-5_15 – volume-title: The Zynq Book: Embedded Processing with the Arm Cortex-A9 on the Xilinx Zynq-7000 All Programmable Soc year: 2014 ident: 581_CR27 – ident: 581_CR86 doi: 10.1145/1807167.1807307 – ident: 581_CR138 – volume: 2 start-page: 9 issue: 2 year: 2009 ident: 581_CR68 publication-title: ACM Trans. Reconf. Technol. Syst. doi: 10.1145/1534916.1534919 – ident: 581_CR148 – ident: 581_CR109 – volume: 2 start-page: 229 issue: 1 year: 2009 ident: 581_CR85 publication-title: Proc. VLDB Endow. doi: 10.14778/1687627.1687654 – ident: 581_CR35 doi: 10.1109/FCCM.2019.00076 – ident: 581_CR44 – ident: 581_CR140 – volume: 52 start-page: 56 issue: 10 year: 2009 ident: 581_CR7 publication-title: Commun. ACM doi: 10.1145/1562764.1562783 – ident: 581_CR92 – ident: 581_CR32 doi: 10.17487/rfc1952 – ident: 581_CR73 doi: 10.1145/2847263.2847305 – volume: 30 start-page: 473 issue: 4 year: 2011 ident: 581_CR26 publication-title: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. doi: 10.1109/TCAD.2011.2110592 – ident: 581_CR58 doi: 10.1145/2499369.2465557 – volume: 27 start-page: 1754 issue: 7 year: 2015 ident: 581_CR10 publication-title: IEEE Trans. Knowl. Data Eng. doi: 10.1109/TKDE.2014.2313874 – ident: 581_CR31 – ident: 581_CR112 – ident: 581_CR78 – ident: 581_CR61 doi: 10.1145/3035918.3035946 – ident: 581_CR118 – ident: 581_CR47 – ident: 581_CR110 doi: 10.1007/978-3-319-57972-6_10 – ident: 581_CR17 doi: 10.1145/1950413.1950423 – ident: 581_CR69 doi: 10.1145/1950413.1950427 – ident: 581_CR16 doi: 10.1109/ICSAMOS.2009.5289237 – ident: 581_CR126 – ident: 581_CR22 – ident: 581_CR36 doi: 10.1007/978-3-319-56111-0_2 – ident: 581_CR129 – ident: 581_CR67 doi: 10.1145/2540708.2540748 – ident: 581_CR9 – ident: 581_CR18 doi: 10.1145/2554688.2554787 – ident: 581_CR95 – ident: 581_CR11 – ident: 581_CR117 doi: 10.1109/ASAP.2017.7995280 – ident: 581_CR134 doi: 10.1007/978-3-319-98521-3_7 – ident: 581_CR24 doi: 10.1145/2934583.2953984 – volume: 24 start-page: 530 issue: 5 year: 1978 ident: 581_CR150 publication-title: IEEE Trans. Inf. Theory doi: 10.1109/TIT.1978.1055934 – ident: 581_CR70 – volume: 45 start-page: 17 issue: 2 year: 2016 ident: 581_CR132 publication-title: ACM SIGMOD Rec. doi: 10.1145/3003665.3003669 – ident: 581_CR12 doi: 10.1145/1468075.1468121 – volume: 7 start-page: 85 issue: 1 year: 2013 ident: 581_CR8 publication-title: Proceedings of the VLDB Endowment doi: 10.14778/2732219.2732227 – ident: 581_CR50 doi: 10.1109/FCCM.2013.17 – ident: 581_CR71 doi: 10.1007/978-3-319-13960-9_1 – ident: 581_CR56 – ident: 581_CR79 – ident: 581_CR28 doi: 10.1109/FPL.2012.6339272 – ident: 581_CR90 doi: 10.1109/FPL.2012.6339221 – ident: 581_CR100 – ident: 581_CR123 – ident: 581_CR114 doi: 10.1145/3035918.3058746 – ident: 581_CR146 – ident: 581_CR62 – volume: 10 start-page: 1706 issue: 12 year: 2017 ident: 581_CR72 publication-title: Proc. VLDB Endow. doi: 10.14778/3137765.3137776 – ident: 581_CR42 – volume: 34 start-page: 19 issue: 1 year: 2014 ident: 581_CR124 publication-title: IEEE Micro doi: 10.1109/MM.2013.107 – ident: 581_CR94 – ident: 581_CR144 doi: 10.1109/HPCS.2018.00030 – volume: 17 start-page: 8 issue: 6 year: 1984 ident: 581_CR135 publication-title: Computer doi: 10.1109/MC.1984.1659158 – volume: 6 start-page: 817 issue: 10 year: 2013 ident: 581_CR143 publication-title: Proc. VLDB Endow. doi: 10.14778/2536206.2536210 – ident: 581_CR6 doi: 10.1109/FPL.2014.6927484 – ident: 581_CR39 doi: 10.1007/978-3-030-02465-9_25 – ident: 581_CR137 – ident: 581_CR98 doi: 10.1109/FPL.2018.00030 – volume: 5 start-page: 1 issue: 2 year: 2013 ident: 581_CR127 publication-title: Synth. Lect. Data Manag. doi: 10.2200/S00514ED1V01Y201306DTM035 – volume: 35 start-page: 1591 issue: 10 year: 2016 ident: 581_CR91 publication-title: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. doi: 10.1109/TCAD.2015.2513673 – ident: 581_CR141 – volume: 23 start-page: 337 issue: 3 year: 1977 ident: 581_CR149 publication-title: IEEE Trans. Inf. Theory doi: 10.1109/TIT.1977.1055714 – ident: 581_CR97 – ident: 581_CR34 doi: 10.1109/CODESISSS.2018.8525953 – ident: 581_CR33 doi: 10.1007/978-3-642-19475-7_26 – ident: 581_CR4 – ident: 581_CR45 – ident: 581_CR15 doi: 10.1007/978-3-662-45761-0_1 – ident: 581_CR120 – ident: 581_CR101 doi: 10.1007/978-3-030-17227-5_3 – ident: 581_CR65 – volume: 42 start-page: 13 issue: 3 year: 2014 ident: 581_CR103 publication-title: ACM SIGARCH Comput. Archit. News doi: 10.1145/2678373.2665678 – volume: 49 start-page: 589 issue: 4.5 year: 2005 ident: 581_CR59 publication-title: IBM J. Res. Dev. doi: 10.1147/rd.494.0589 – volume: 2 start-page: 910 issue: 1 year: 2009 ident: 581_CR84 publication-title: Proc. VLDB Endow. doi: 10.14778/1687627.1687730 – ident: 581_CR38 – ident: 581_CR13 – ident: 581_CR115 doi: 10.1145/2435264.2435268 – ident: 581_CR133 doi: 10.1109/FPL.2015.7293941 – ident: 581_CR29 – ident: 581_CR54 – ident: 581_CR77 – ident: 581_CR119 – ident: 581_CR60 – ident: 581_CR48 – ident: 581_CR83 doi: 10.1145/1739041.1739137 – ident: 581_CR41 – ident: 581_CR105 – ident: 581_CR131 – ident: 581_CR25 doi: 10.1145/2897937.2905012 – ident: 581_CR96 – volume: 34 start-page: 21 issue: 4 year: 2009 ident: 581_CR51 publication-title: ACM Trans. Database Syst. doi: 10.1145/1620585.1620588 – volume: 11 start-page: 1317 issue: 11 year: 2018 ident: 581_CR76 publication-title: Proc. VLDB Endow. doi: 10.14778/3236187.3236188 – ident: 581_CR107 doi: 10.1109/ICDE.2012.39 – ident: 581_CR104 doi: 10.1145/3174243.3174987 – ident: 581_CR80 – ident: 581_CR82 doi: 10.1145/1559845.1559965 – volume: 6 start-page: 709 issue: 9 year: 2013 ident: 581_CR53 publication-title: Proc. VLDB Endow. doi: 10.14778/2536360.2536370 – ident: 581_CR99 – ident: 581_CR2 – ident: 581_CR63 – ident: 581_CR43 – volume: 12 start-page: 66 issue: 1–3 year: 2010 ident: 581_CR121 publication-title: Comput. Sci. Eng. doi: 10.1109/MCSE.2010.69 – ident: 581_CR116 doi: 10.1109/ICPP.2016.35 – ident: 581_CR23 doi: 10.1109/FCCM.2018.00023 – ident: 581_CR88 – volume: 7 start-page: 963 issue: 11 year: 2014 ident: 581_CR139 publication-title: Proc. VLDB Endow. doi: 10.14778/2732967.2732972 – volume: 29 start-page: 928 issue: 4 year: 1982 ident: 581_CR122 publication-title: J. ACM doi: 10.1145/322344.322346 – ident: 581_CR57 – volume: 6 start-page: 1374 issue: 12 year: 2013 ident: 581_CR147 publication-title: Proc. VLDB Endow. doi: 10.14778/2536274.2536319 |
| SSID | ssj0002225 |
| Score | 2.5135274 |
| Snippet | While FPGAs have seen prior use in database systems, in recent years interest in using FPGA to accelerate databases has declined in both industry and academia... |
| SourceID | proquest crossref springer |
| SourceType | Aggregation Database Enrichment Source Index Database Publisher |
| StartPage | 33 |
| SubjectTerms | Acceleration Algorithms Bandwidths Circuit design Computer Science Database Management Field programmable gate arrays Graphics processing units Special Issue Paper Virtual memory systems |
| Title | In-memory database acceleration on FPGAs: a survey |
| URI | https://link.springer.com/article/10.1007/s00778-019-00581-w https://www.proquest.com/docview/2348263374 |
| Volume | 29 |
| WOSCitedRecordID | wos000492650700001&url=https%3A%2F%2Fcvtisr.summon.serialssolutions.com%2F%23%21%2Fsearch%3Fho%3Df%26include.ft.matches%3Dt%26l%3Dnull%26q%3D |
| hasFullText | 1 |
| inHoldings | 1 |
| isFullTextHit | |
| isPrint | |
| journalDatabaseRights | – providerCode: PRVAVX databaseName: SpringerLINK Contemporary 1997-Present customDbUrl: eissn: 0949-877X dateEnd: 99991231 omitProxy: false ssIdentifier: ssj0002225 issn: 1066-8888 databaseCode: RSV dateStart: 19970101 isFulltext: true titleUrlDefault: https://link.springer.com/search?facet-content-type=%22Journal%22 providerName: Springer Nature |
| link | http://cvtisr.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnV1bS8MwFA46ffDFecXplDz4poEuyZLUtyFOBRnDy9hbSU9TELTKugv79yZZ26GooNC3piGcS75zem4IncZJACxsKWLaOiY8EQmJ09gyhAlIE6ACfPR8cCd7PTUchv2iKCwvs93LkKS_qatiN9d5xiVehcTNwmuR2Spas3CnnDrePwyq-9d5MD7GKQSx_p0qSmW-3-MzHC1tzC9hUY823fr_zrmFNgvrEncW4rCNVky2g-rl5AZcKPIuorcZeXU5tnPsUkQdlGENYCFoIRDYPt3-dSe_wBrnk9HUzPfQU_fq8fKGFNMTCFiMGRPXN1nEADxUgYUgziypjOEykKlKA65BMiNCo-1pqbSOjNKyDZqGaTsOY0UTto9q2VtmDhC2dhEDLiEApblMtOW7ohqYodqwdmoaqFUSMYKitbibcPESVU2RPVEiS5TIEyWaNdBZ9c37orHGr6ubJW-iQsnyiLrGPIIxyRvovOTF8vXPux3-bfkR2qDOy_Y_XpqoNh5NzDFah-n4OR-deOH7APb_0yo |
| linkProvider | Springer Nature |
| linkToHtml | http://cvtisr.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwnV3dS8MwED90Cvri_MTp1D74poEuyZrUtyHODecYOsfeSnpNQdAp6z7Yf2_StRuKCgp9axrC3SW_u97ldwDnYeQi8yuS6KoKCY-8iIRxaBTCPIwjpB6m2fNeS7Tbst_3O9mlsCSvds9TkulJvbjsZplnbOGVT2wvvAqZrsIaN4hlC_keHnuL89dGMGmO0_OIie9kdlXm-zk-w9HSx_ySFk3Rpl783zq3YSvzLp3a3Bx2YEUPdqGYd25wso28B7Q5IK-2xnbm2BJRC2WOQjQQNDcIxzz1zm0tuXKUk4yHEz3bh6f6Tfe6QbLuCQQNxoyI5U32QkTuS9dAEGeuL7XmwhWxjF2uUDDt-VqZ1VJhAhmpRBUV9eNq6IeSRuwACoO3gT4Ex_hFDLlAF6XiIlJG75IqZJoqzaqxLkElF2KAGbW47XDxEixIkVOhBEYoQSqUYFqCi8U373NijV9Hl3PdBNkmSwJqiXk8xgQvwWWui-Xrn2c7-tvwM9hodO9bQavZvjuGTWoj7vQnTBkKo-FYn8A6TkbPyfA0NcQP5szWDg |
| linkToPdf | http://cvtisr.summon.serialssolutions.com/2.0.0/link/0/eLvHCXMwpV3dS8MwED90ivji_MTp1D74psEuyZrUt6FOh2MM1LG3kl5TELSOfbL_3qRrNxUVROhbkxDuLv3lene_AzgNIxeZX5FEV1VIeORFJIxDoxDmYRwh9TCNnneaotWS3a7f_lDFn2a75yHJWU2DZWlKhhe9KL6YF75ZFhqbhOUT2xevQibLsMJt0yDrrz905t9i682k8U7PI8bXk1nZzPdrfIamxX3zS4g0RZ568f973oSN7Nbp1GZmsgVLOtmGYt7RwckO-A7QRkJebe7t1LGpoxbiHIVooGlmKI556u3b2uDSUc5g1B_r6S481W8er-5I1lWBoMGeIbF8yl6IyH3pGmjizPWl1ly4IpaxyxUKpj1fK7NbKoyDI5WooqJ-XA39UNKI7UEheUv0PjjmvsSQC3RRKi4iZexBUoVMU6VZNdYlqOQCDTCjHLedL16COVlyKpTACCVIhRJMSnA2n9ObEW78Orqc6ynIDt8goJawx2NM8BKc53pZvP55tYO_DT-BtfZ1PWg2WveHsE6tI57-mylDYdgf6SNYxfHwedA_Tm3yHV773vI |
| openUrl | ctx_ver=Z39.88-2004&ctx_enc=info%3Aofi%2Fenc%3AUTF-8&rfr_id=info%3Asid%2Fsummon.serialssolutions.com&rft_val_fmt=info%3Aofi%2Ffmt%3Akev%3Amtx%3Ajournal&rft.genre=article&rft.atitle=In-memory+database+acceleration+on+FPGAs%3A+a+survey&rft.jtitle=The+VLDB+journal&rft.au=Fang%2C+Jian&rft.au=Mulder+Yvo+T+B&rft.au=Hidders%2C+Jan&rft.au=Lee%2C+Jinho&rft.date=2020-01-01&rft.pub=Springer+Nature+B.V&rft.issn=1066-8888&rft.eissn=0949-877X&rft.volume=29&rft.issue=1&rft.spage=33&rft.epage=59&rft_id=info:doi/10.1007%2Fs00778-019-00581-w&rft.externalDBID=NO_FULL_TEXT |
| thumbnail_l | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/lc.gif&issn=1066-8888&client=summon |
| thumbnail_m | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/mc.gif&issn=1066-8888&client=summon |
| thumbnail_s | http://covers-cdn.summon.serialssolutions.com/index.aspx?isbn=/sc.gif&issn=1066-8888&client=summon |