Suchergebnisse - Mathematics of computing Discrete mathematics Graph theory Paths and connectivity problems*
-
1
Equality Saturation for Datapath Synthesis: A Pathway to Pareto Optimality
Veröffentlicht: IEEE 09.07.2023Veröffentlicht in 2023 60th ACM/IEEE Design Automation Conference (DAC) (09.07.2023)“… domains, such as program synthesis, compiler optimization, and datapath synthesis. Constructing an e-graph using rewrite rules that preserve program functionality …”
Volltext
Tagungsbericht -
2
Neuromorphic Computing for Graph Analytics
ISSN: 1558-2434Veröffentlicht: ACM 27.10.2024Veröffentlicht in Digest of technical papers - IEEE/ACM International Conference on Computer-Aided Design (27.10.2024)“… Finding the single-source shortest paths (SSSP) in a weighted graph is a fundamental problem in computer science with many practical applications, including network flow and social network analysis …”
Volltext
Tagungsbericht -
3
Finding Spatial Clusters Susceptible to Epidemic Outbreaks due to Undervaccination
ISSN: 1558-2914, 1548-8403, 1558-2914Veröffentlicht: 01.05.2020Veröffentlicht in Proceedings of the International Joint Conference on Autonomous Agents and Multiagent Systems (01.05.2020)“… Geographical clusters of undervaccinated populations have emerged in various parts of the United States in recent years. Public health response involves …”
Volltext
Journal Article -
4
Analysis of the O-GEometric History Length Branch Predictor
ISBN: 076952270X, 9780769522708ISSN: 1063-6897Veröffentlicht: Washington, DC, USA IEEE Computer Society 01.05.2005Veröffentlicht in 32nd International Symposium on Computer Architecture (ISCA'05) (01.05.2005)“… In this paper, we introduce and analyze the Optimized GEometric History Length (O-GEHL) branch Predictor that efficiently exploits very long global histories …”
Volltext
Tagungsbericht -
5
Piecewise Linear Branch Prediction
ISBN: 076952270X, 9780769522708ISSN: 1063-6897Veröffentlicht: Washington, DC, USA IEEE Computer Society 01.05.2005Veröffentlicht in 32nd International Symposium on Computer Architecture (ISCA'05) (01.05.2005)“… We introduce piecewise linear branch prediction, an idealized branch predictor that develops a set of linear functions, one for each program path to the branch to be predicted, that separate …”
Volltext
Tagungsbericht -
6
Fast iterative graph computation: a path centric approach
ISBN: 1479955000, 9781479955008ISSN: 2167-4329Veröffentlicht: Piscataway, NJ, USA IEEE Press 16.11.2014Veröffentlicht in Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (16.11.2014)“… Our system design has three unique features: First, we model a large graph using a collection of tree-based partitions and use an path-centric computation rather than vertex-centric or edge-centric computation …”
Volltext
Tagungsbericht -
7
Program generation for the all-pairs shortest path problem
Veröffentlicht: ACM 01.09.2006Veröffentlicht in PACT 2006 : proceedings of the Fifteenth International Conference on Parallel Architectures and Compilation Techniques : September 16-20, 2006, Seattle, Washington, USA. (01.09.2006)“… A recent trend in computing are domain-specific program generators, designed to alleviate the effort of porting and re-optimizing libraries for fast-changing and increasingly complex computing platforms …”
Volltext
Tagungsbericht -
8
Predictive QoS routing to mobile sinks in wireless sensor networks
ISBN: 1424451086, 9781424451081Veröffentlicht: Washington, DC, USA IEEE Computer Society 13.04.2009Veröffentlicht in 2009 International Conference on Information Processing in Sensor Networks (13.04.2009)“… Our algorithm is based on information potentials, which we extend to account for mobility. We show that for local movement along edges in the communication graph …”
Volltext
Tagungsbericht -
9
Maze routing with buffer insertion and wiresizing
ISBN: 9781581131871, 1581131879Veröffentlicht: New York, NY, USA ACM 01.01.2000Veröffentlicht in 37th Design Automation Conference, 2000 (01.01.2000)“… We propose an elegant formulation of the Maze Routing with Buffer Insertion and Wiresizing pr oblem as a graph-the oretic shortest path problem …”
Volltext
Tagungsbericht -
10
Focusing processor policies via critical-path prediction
ISBN: 0769511627, 9780769511627Veröffentlicht: New York, NY, USA ACM 01.01.2001Veröffentlicht in Proceedings of the 28th annual international symposium on Computer architecture (01.01.2001)“… Instruction cost can be naturally expressed through the critical path: if we could predict it at run-time, egalitarian policies could be replaced with cost-sensitive strategies that will grow increasingly effective as processors become more parallel …”
Volltext
Tagungsbericht -
11
Statistical Timing Analysis with Extended Pseudo-Canonical Timing Model
ISBN: 9780769522883, 0769522882ISSN: 1530-1591Veröffentlicht: Washington, DC, USA IEEE Computer Society 07.03.2005Veröffentlicht in Design, Automation and Test in Europe (07.03.2005)“… State of the art statistical timing analysis (STA) tools often yield less accurate results when timing variables become correlated due to global source of variations and path reconvergence …”
Volltext
Tagungsbericht -
12
Adaptive Landmark Selection Strategies for Fast Shortest Path Computation in Large Real-World Graphs
Veröffentlicht: IEEE 01.08.2014Veröffentlicht in 2014 IEEE/WIC/ACM International Joint Conferences on Web Intelligence (WI) and Intelligent Agent Technologies (IAT) (01.08.2014)“… This paper considers the task of answering shortest path queries in large real-world graphs such as social networks, communication networks and web graphs …”
Volltext
Tagungsbericht -
13
Provably Good Max-Min-m-neighbor-TSP-Based Subfield Scheduling for Electron-Beam Photomask Fabrication
ISBN: 1467383899, 9781467383899Veröffentlicht: Piscataway, NJ, USA IEEE Press 02.11.2015Veröffentlicht in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (02.11.2015)“… Electron beam lithography (EBL) has been used for high-resolution photomask fabrication; its successive heating process in a certain region, however, may cause …”
Volltext
Tagungsbericht -
14
Post-route gate sizing for crosstalk noise reduction
ISBN: 1581136889, 9781581136883Veröffentlicht: New York, NY, USA ACM 02.06.2003Veröffentlicht in 2003 40th Annual Conference Design Automation (02.06.2003)“… This can create cyclical dependencies between nets in the circuit with noise violations. In this paper, we propose a fast and effective heuristic post-route gate sizing algorithm that uses a graph representation of the noise dependencies between nodes …”
Volltext
Tagungsbericht -
15
Post-routing redundant via insertion for yield/reliability improvement
ISBN: 9780780394513, 0780394518Veröffentlicht: Piscataway, NJ, USA IEEE Press 01.01.2006Veröffentlicht in Proceedings of the 2006 Asia and South Pacific Design Automation Conference (01.01.2006)“… We present an efficient graph construction algorithm to model the problem, and an effective MIS heuristic to solve the problem …”
Volltext
Tagungsbericht -
16
ARA+: Improved Path Planning Algorithm Based on ARA
ISBN: 9781467360579, 1467360570Veröffentlicht: IEEE 01.12.2012Veröffentlicht in 2012 IEEE/WIC/ACM International Conferences on Web Intelligence and Intelligent Agent Technology (01.12.2012)“… A* path planning algorithm cannot always guarantee the continuity of a robot's movements when the allocated time is limited, however Anytime Repairing A*(ARA …”
Volltext
Tagungsbericht -
17
Graph Calculus: Scalable Shortest Path Analytics for Large Social Graphs through Core Net
Veröffentlicht: IEEE 01.11.2013Veröffentlicht in 2013 IEEE/WIC/ACM International Joint Conferences on Web Intelligence (WI) and Intelligent Agent Technologies (IAT) (01.11.2013)“… We focus on the problem of scalable shortest path analytics for large social graphs in this paper …”
Volltext
Tagungsbericht -
18
Spectral K-way ratio-cut partitioning and clustering
ISBN: 9780897915779, 0897915771Veröffentlicht: New York, NY, USA ACM 01.07.1993Veröffentlicht in DAC 93: 30th ACM-IEEE Design Automation (01.07.1993)Volltext
Tagungsbericht -
19
A Multi-objective Incremental Path Planning Algorithm for Mobile Agents
ISBN: 9781467360579, 1467360570Veröffentlicht: IEEE 01.12.2012Veröffentlicht in 2012 IEEE/WIC/ACM International Conferences on Web Intelligence and Intelligent Agent Technology (01.12.2012)“… Path planning is a crucial issue in unknown environments where an autonomous mobile agent has to reach a particular destination from some initial location …”
Volltext
Tagungsbericht -
20
A parallel bottom-up clustering algorithm with applications to circuit partitioning in VLSI design
ISBN: 9780897915779, 0897915771ISSN: 0738-100XVeröffentlicht: New York, NY, USA ACM 01.07.1993Veröffentlicht in 30th ACM/IEEE Design Automation Conference (01.07.1993)“… The sizes of the small cliques are derived using random graph theory. This clustering algorithm leads to a natural parallel implementation in which multiple processors are used to identify clusters simultaneously …”
Volltext
Tagungsbericht

