Search Results - Computer systems organization Architectures Serial architectures Pipeline computing
-
1
Lookup Table-based Multiplication-free All-digital DNN Accelerator Featuring Self-Synchronous Pipeline Accumulation
Published: IEEE 22.06.2025Published in 2025 62nd ACM/IEEE Design Automation Conference (DAC) (22.06.2025)“… Previous research has employed large analog computing circuits to convert inputs into LUT addresses, which presents challenges to area efficiency and computational accuracy…”
Get full text
Conference Proceeding -
2
Bit-level Perceptron Prediction for Indirect Branches
ISSN: 2575-713XPublished: ACM 01.06.2019Published in 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA) (01.06.2019)“…Modern software uses indirect branches for various purposes including, but not limited to, virtual method dispatch and implementation of switch statements…”
Get full text
Conference Proceeding -
3
UpPipe: A Novel Pipeline Management on In-Memory Processors for RNA-seq Quantification
Published: IEEE 09.07.2023Published in 2023 60th ACM/IEEE Design Automation Conference (DAC) (09.07.2023)“…, memory wall problem on the conventional architecture. As the first publicly commercial processing-in-memory (PIM…”
Get full text
Conference Proceeding -
4
Constable: Improving Performance and Power Efficiency by Safely Eliminating Load Instruction Execution
Published: IEEE 29.06.2024Published in 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA) (29.06.2024)“… (even on a correct prediction), which consumes hard-to-scale pipeline resources that otherwise could have been used to execute other load instructions…”
Get full text
Conference Proceeding -
5
MixPipe: Efficient Bidirectional Pipeline Parallelism for Training Large-Scale Models
Published: IEEE 09.07.2023Published in 2023 60th ACM/IEEE Design Automation Conference (DAC) (09.07.2023)“… Recently, bidirectional pipeline parallelism has been recognized as an effective approach for improving training throughput…”
Get full text
Conference Proceeding -
6
Buffer Prospector: Discovering and Exploiting Untapped Buffer Resources in Many-Core DNN Accelerators
Published: IEEE 22.06.2025Published in 2025 62nd ACM/IEEE Design Automation Conference (DAC) (22.06.2025)“…In large-scale DNN inference accelerators, the many-core architecture has emerged as a predominant design, with layer-pipeline (LP…”
Get full text
Conference Proceeding -
7
Alternate Path μ-op Cache Prefetching
Published: IEEE 29.06.2024Published in 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA) (29.06.2024)“…Datacenter applications are well-known for their large code footprints. This has caused frontend design to evolve by implementing decoupled fetching and large…”
Get full text
Conference Proceeding -
8
Alternate Path Fetch
Published: IEEE 29.06.2024Published in 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA) (29.06.2024)“… This requires building wider pipelines with more accurate branch predictors. However, scaling the pipeline width is becoming more challenging due to limitations…”
Get full text
Conference Proceeding -
9
Spatz: A Compact Vector Processing Unit for High-Performance and Energy-Efficient Shared-L1 Clusters
ISSN: 1558-2434Published: ACM 29.10.2022Published in 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD) (29.10.2022)“…While parallel architectures based on clusters of Processing Elements (PEs) sharing L1 memory are widespread, there is no consensus on how lean their PE…”
Get full text
Conference Proceeding -
10
UDP: Utility-Driven Fetch Directed Instruction Prefetching
Published: IEEE 29.06.2024Published in 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA) (29.06.2024)“… However, our study shows that existing implementations still fall far short of an ideal system with a perfect instruction cache…”
Get full text
Conference Proceeding -
11
X-Layer: Building Composable Pipelined Dataflows for Low-Rank Convolutions
Published: IEEE 01.09.2021Published in 2021 30th International Conference on Parallel Architectures and Compilation Techniques (PACT) (01.09.2021)“… X-Layer decouples the nested loops in a pipeline and combines them to create a common outer dataflow and several inner dataflows…”
Get full text
Conference Proceeding -
12
AVM-BTB: Adaptive and Virtualized Multi-level Branch Target Buffer
Published: IEEE 29.06.2024Published in 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA) (29.06.2024)“…Branch Target Buffer (BTB) plays an important role in modern processors. It is used to identify branches in the instruction stream and predict branch targets…”
Get full text
Conference Proceeding -
13
PipeLink: A Pipelined Resource Sharing System for Dataflow High-Level Synthesis
Published: IEEE 22.06.2025Published in 2025 62nd ACM/IEEE Design Automation Conference (DAC) (22.06.2025)“…Dynamically scheduled high-level synthesis (HLS) is an approach to HLS that maps programs into dataflow circuits. These circuits use distributed control for…”
Get full text
Conference Proceeding -
14
Load value prediction via path-based address prediction: avoiding mispredictions due to conflicting stores
ISBN: 1450349528, 9781450349529ISSN: 2379-3155Published: New York, NY, USA ACM 14.10.2017Published in MICRO-50 : the 50th annual IEEE/ACM International Symposium on Microarchitecture : proceedings : October 14-18, 2017, Cambridge, MA (14.10.2017)“… Challenge #2: value mispredictions trigger costly pipeline flushes. To minimize the number of pipeline flushes, value predictors employ stringent, yet necessary, high confidence requirements to guarantee high prediction accuracy…”
Get full text
Conference Proceeding -
15
Leaky MDU: ARM Memory Disambiguation Unit Uncovered and Vulnerabilities Exposed
Published: IEEE 09.07.2023Published in 2023 60th ACM/IEEE Design Automation Conference (DAC) (09.07.2023)“…Memory Disambiguation Unit (MDU) is widely used on modern processors to speculatively execute load instructions and improve pipeline performance…”
Get full text
Conference Proceeding -
16
Pipelining a triggered processing element
ISBN: 1450349528, 9781450349529ISSN: 2379-3155Published: New York, NY, USA ACM 14.10.2017Published in MICRO-50 : the 50th annual IEEE/ACM International Symposium on Microarchitecture : proceedings : October 14-18, 2017, Cambridge, MA (14.10.2017)“… We propose two new techniques to mitigate pipeline hazards particular to spatial accelerators and non-program-counter architectures, evaluating them using in-vivo performance counters from an FPGA…”
Get full text
Conference Proceeding -
17
SMT-COP: Defeating Side-Channel Attacks on Execution Units in SMT Processors
ISSN: 2641-7936Published: IEEE 01.09.2019Published in Proceedings / International Conference on Parallel Architectures and Compilation Techniques (01.09.2019)“…) architectures from the security standpoint. To address this problem, we propose SMT-COP-a system that eliminates all known side-channels through shared execution logic, including ports and functional units, on SMT processors…”
Get full text
Conference Proceeding -
18
Filter Caching for Free: The Untapped Potential of the Store-Buffer
ISSN: 2575-713XPublished: ACM 01.06.2019Published in 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA) (01.06.2019)“…Modern processors contain store-buffers to allow stores to retire under a miss, thus hiding store-miss latency. The store-buffer needs to be large (for…”
Get full text
Conference Proceeding -
19
FabScalar: composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template
ISBN: 9781450304726, 1450304729ISSN: 1063-6897Published: New York, NY, USA ACM 04.06.2011Published in 2011 38th Annual International Symposium on Computer Architecture (ISCA) (04.06.2011)“…: superscalar width, pipeline depth, and sizes of structures for extracting instruction-level parallelism (ILP…”
Get full text
Conference Proceeding -
20
Sparse-T: Hardware accelerator thread for unstructured sparse data processing
ISSN: 1558-2434Published: ACM 29.10.2022Published in 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD) (29.10.2022)“…Sparse matrix-dense vector (SpMV) multiplication is inherent in most scientific, neural networks and machine learning algorithms. To efficiently exploit…”
Get full text
Conference Proceeding

