Search Results - "Mathematics of computing Discrete mathematics Graph theory Paths and connectivity problems"
-
1
Equality Saturation for Datapath Synthesis: A Pathway to Pareto Optimality
Published: IEEE 09.07.2023Published in 2023 60th ACM/IEEE Design Automation Conference (DAC) (09.07.2023)“…Equality saturation, originally developed in the late 1970s for use in automated theorem provers, has been recently advanced to perform scalable rule-based…”
Get full text
Conference Proceeding -
2
Neuromorphic Computing for Graph Analytics
ISSN: 1558-2434Published: ACM 27.10.2024Published in Digest of technical papers - IEEE/ACM International Conference on Computer-Aided Design (27.10.2024)“…Finding the single-source shortest paths (SSSP) in a weighted graph is a fundamental problem in computer science with many practical applications, including…”
Get full text
Conference Proceeding -
3
Finding Spatial Clusters Susceptible to Epidemic Outbreaks due to Undervaccination
ISSN: 1558-2914, 1548-8403, 1558-2914Published: 01.05.2020Published in Proceedings of the International Joint Conference on Autonomous Agents and Multiagent Systems (01.05.2020)“…Geographical clusters of undervaccinated populations have emerged in various parts of the United States in recent years. Public health response involves…”
Get full text
Journal Article -
4
Analysis of the O-GEometric History Length Branch Predictor
ISBN: 076952270X, 9780769522708ISSN: 1063-6897Published: Washington, DC, USA IEEE Computer Society 01.05.2005Published in 32nd International Symposium on Computer Architecture (ISCA'05) (01.05.2005)“…In this paper, we introduce and analyze the Optimized GEometric History Length (O-GEHL) branch Predictor that efficiently exploits very long global histories…”
Get full text
Conference Proceeding -
5
Piecewise Linear Branch Prediction
ISBN: 076952270X, 9780769522708ISSN: 1063-6897Published: Washington, DC, USA IEEE Computer Society 01.05.2005Published in 32nd International Symposium on Computer Architecture (ISCA'05) (01.05.2005)“…Improved branch prediction accuracy is essential to sustaining instruction throughput with todayýs deep pipelines. We introduce piecewise linear branch…”
Get full text
Conference Proceeding -
6
Fast iterative graph computation: a path centric approach
ISBN: 1479955000, 9781479955008ISSN: 2167-4329Published: Piscataway, NJ, USA IEEE Press 16.11.2014Published in Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (16.11.2014)“…Large scale graph processing represents an interesting challenge due to the lack of locality. This paper presents PathGraph for improving iterative graph…”
Get full text
Conference Proceeding -
7
Program generation for the all-pairs shortest path problem
Published: ACM 01.09.2006Published in PACT 2006 : proceedings of the Fifteenth International Conference on Parallel Architectures and Compilation Techniques : September 16-20, 2006, Seattle, Washington, USA. (01.09.2006)“…A recent trend in computing are domain-specific program generators, designed to alleviate the effort of porting and re-optimizing libraries for fast-changing…”
Get full text
Conference Proceeding -
8
Predictive QoS routing to mobile sinks in wireless sensor networks
ISBN: 1424451086, 9781424451081Published: Washington, DC, USA IEEE Computer Society 13.04.2009Published in 2009 International Conference on Information Processing in Sensor Networks (13.04.2009)“…We present an algorithm for data delivery to mobile sinks in wireless sensor networks. Our algorithm is based on information potentials, which we extend to…”
Get full text
Conference Proceeding -
9
Maze routing with buffer insertion and wiresizing
ISBN: 9781581131871, 1581131879Published: New York, NY, USA ACM 01.01.2000Published in 37th Design Automation Conference, 2000 (01.01.2000)“…We propose an elegant formulation of the Maze Routing with Buffer Insertion and Wiresizing pr oblem as a graph-the oretic shortest path problem. This…”
Get full text
Conference Proceeding -
10
Focusing processor policies via critical-path prediction
ISBN: 0769511627, 9780769511627Published: New York, NY, USA ACM 01.01.2001Published in Proceedings of the 28th annual international symposium on Computer architecture (01.01.2001)“…Although some instructions hurt performance more than others, current processors typically apply scheduling and speculation as if each instruction was equally…”
Get full text
Conference Proceeding -
11
Statistical Timing Analysis with Extended Pseudo-Canonical Timing Model
ISBN: 9780769522883, 0769522882ISSN: 1530-1591Published: Washington, DC, USA IEEE Computer Society 07.03.2005Published in Design, Automation and Test in Europe (07.03.2005)“…State of the art statistical timing analysis (STA) tools often yield less accurate results when timing variables become correlated due to global source of…”
Get full text
Conference Proceeding -
12
Adaptive Landmark Selection Strategies for Fast Shortest Path Computation in Large Real-World Graphs
Published: IEEE 01.08.2014Published in 2014 IEEE/WIC/ACM International Joint Conferences on Web Intelligence (WI) and Intelligent Agent Technologies (IAT) (01.08.2014)“…This paper considers the task of answering shortest path queries in large real-world graphs such as social networks, communication networks and web graphs. The…”
Get full text
Conference Proceeding -
13
Provably Good Max-Min-m-neighbor-TSP-Based Subfield Scheduling for Electron-Beam Photomask Fabrication
ISBN: 1467383899, 9781467383899Published: Piscataway, NJ, USA IEEE Press 02.11.2015Published in Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (02.11.2015)“…Electron beam lithography (EBL) has been used for high-resolution photomask fabrication; its successive heating process in a certain region, however, may cause…”
Get full text
Conference Proceeding -
14
Post-route gate sizing for crosstalk noise reduction
ISBN: 1581136889, 9781581136883Published: New York, NY, USA ACM 02.06.2003Published in 2003 40th Annual Conference Design Automation (02.06.2003)“…Gate sizing is a practical and a feasible crosstalk noise repair technique in the post route design stage, especially for block level sea-of-gates designs. The…”
Get full text
Conference Proceeding -
15
Post-routing redundant via insertion for yield/reliability improvement
ISBN: 9780780394513, 0780394518Published: Piscataway, NJ, USA IEEE Press 01.01.2006Published in Proceedings of the 2006 Asia and South Pacific Design Automation Conference (01.01.2006)“…Reducing the yield loss due to via failure is one of the important problems in design for manufacturability. A well known and highly recommended method to…”
Get full text
Conference Proceeding -
16
ARA+: Improved Path Planning Algorithm Based on ARA
ISBN: 9781467360579, 1467360570Published: IEEE 01.12.2012Published in 2012 IEEE/WIC/ACM International Conferences on Web Intelligence and Intelligent Agent Technology (01.12.2012)“…A* path planning algorithm cannot always guarantee the continuity of a robot's movements when the allocated time is limited, however Anytime Repairing A*(ARA*)…”
Get full text
Conference Proceeding -
17
Graph Calculus: Scalable Shortest Path Analytics for Large Social Graphs through Core Net
Published: IEEE 01.11.2013Published in 2013 IEEE/WIC/ACM International Joint Conferences on Web Intelligence (WI) and Intelligent Agent Technologies (IAT) (01.11.2013)“…We focus on the problem of scalable shortest path analytics for large social graphs in this paper. While shortest path distance problem has been investigated…”
Get full text
Conference Proceeding -
18
Spectral K-way ratio-cut partitioning and clustering
ISBN: 9780897915779, 0897915771Published: New York, NY, USA ACM 01.07.1993Published in DAC 93: 30th ACM-IEEE Design Automation (01.07.1993)Get full text
Conference Proceeding -
19
A Multi-objective Incremental Path Planning Algorithm for Mobile Agents
ISBN: 9781467360579, 1467360570Published: IEEE 01.12.2012Published in 2012 IEEE/WIC/ACM International Conferences on Web Intelligence and Intelligent Agent Technology (01.12.2012)“…Path planning is a crucial issue in unknown environments where an autonomous mobile agent has to reach a particular destination from some initial location…”
Get full text
Conference Proceeding -
20
A parallel bottom-up clustering algorithm with applications to circuit partitioning in VLSI design
ISBN: 9780897915779, 0897915771ISSN: 0738-100XPublished: New York, NY, USA ACM 01.07.1993Published in 30th ACM/IEEE Design Automation Conference (01.07.1993)“…In this paper, we present a bottom-up clustering algorithm based on recursive collapsing of small cliques in a graph. The sizes of the small cliques are…”
Get full text
Conference Proceeding

