Search Results - "Computer systems organization Architectures Parallel architectures Very long instruction word"
-
1
Automated Generation of Decoders for Irregular Instruction Sets Using Information-Theoretic Decision Tree Construction Algorithms
Published: IEEE 22.06.2025Published in 2025 62nd ACM/IEEE Design Automation Conference (DAC) (22.06.2025)“…Instruction decoders are indispensable components of the System-on-Chip design flow and major constituents of instruction set simulators and processor…”
Get full text
Conference Proceeding -
2
Bit-level Perceptron Prediction for Indirect Branches
ISSN: 2575-713XPublished: ACM 01.06.2019Published in 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA) (01.06.2019)“…Modern software uses indirect branches for various purposes including, but not limited to, virtual method dispatch and implementation of switch statements…”
Get full text
Conference Proceeding -
3
JouleTrack: a web based tool for software energy profiling
ISBN: 1581132972, 9781581132977ISSN: 0738-100XPublished: New York, NY, USA ACM 01.01.2001Published in Design Automation, 2001 Proceedings (01.01.2001)“…A software energy estimation methodology is presented that avoids explicit characterization of instruction energy consumption and pre-dicts energy consumption…”
Get full text
Conference Proceeding -
4
Outer-loop vectorization - revisited for short SIMD architectures
Published: ACM 01.10.2008Published in PACT'08 : proceedings of the Seventeenth International Conference on Parallel Architectures and Compilation Techniques : Toronto, Ontario, Canada, October 25-29, 2008 (01.10.2008)“…Vectorization has been an important method of using data-level parallelism to accelerate scientific workloads on vector machines such as Cray for the past…”
Get full text
Conference Proceeding -
5
ASF: AMD64 Extension for Lock-Free Data Structures and Transactional Memory
ISBN: 1424490715, 9781424490714ISSN: 1072-4451Published: IEEE 01.12.2010Published in 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture (01.12.2010)“…Advanced Synchronization Facility (ASF) is an AMD64 hardware extension for lock-free data structures and transactional memory. It provides a speculative region…”
Get full text
Conference Proceeding -
6
Security as a new dimension in embedded system design
ISBN: 1581138288, 9781581138283, 1511838288ISSN: 0738-100XPublished: New York, NY, USA ACM 01.01.2004Published in 2004 41st Conference Design Automation (01.01.2004)“…The growing number of instances of breaches in information security in the last few years has created a compelling case for efforts towards secure electronic…”
Get full text
Conference Proceeding -
7
Cooperative cache scrubbing
Published: ACM 01.08.2014Published in PACT '14 : proceedings of the 23rd International Conference on Parallel Architectures and Compilation Techniques : August 24-27, 2014, Edmonton, AB, Canada (01.08.2014)“…Managing the limited resources of power and memory bandwidth while improving performance on multicore hardware is challenging. In particular, more cores demand…”
Get full text
Conference Proceeding -
8
SHRINK: Reducing the ISA complexity via instruction recycling
ISSN: 1063-6897Published: IEEE 13.06.2015Published in Proceedings - International Symposium on Computer Architecture (13.06.2015)“…Microprocessor manufacturers typically keep old instruction sets in modern processors to ensure backward compatibility with legacy software. The introduction…”
Get full text
Conference Proceeding -
9
Word level feature discovery to enhance quality of assertion mining
ISBN: 9781450315739, 1450315739ISSN: 1092-3152Published: New York, NY, USA ACM 05.11.2012Published in 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (05.11.2012)“…Automatic assertion generation methodologies based on machine learning generate assertions at bit level. These bit level assertions are numerous, making them…”
Get full text
Conference Proceeding -
10
Aging-aware compiler-directed VLIW assignment for GPGPU architectures
ISBN: 1450320716, 9781450320719ISSN: 0738-100XPublished: New York, NY, USA ACM 29.05.2013Published in 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC) (29.05.2013)“…Negative bias temperature instability (NBTI) adversely affects the reliability of a processor by introducing new delay-induced faults. However, the effect of…”
Get full text
Conference Proceeding -
11
Early partial evaluation in a JIT-compiled, retargetable instruction set simulator generated from a high-level architecture description
ISBN: 1450320716, 9781450320719ISSN: 0738-100XPublished: New York, NY, USA ACM 29.05.2013Published in 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC) (29.05.2013)“…Modern processor design tools integrate in their workflows generators for instruction set simulators (Iss) from architecture descriptions. Whilst these…”
Get full text
Conference Proceeding -
12
Execution-based prediction using speculative slices
ISBN: 0769511627, 9780769511627Published: New York, NY, USA ACM 01.01.2001Published in 28th IEEE International Symposium on Computer Architecture, 2001, Goteborg, Sweden (01.01.2001)“…A relatively small set of static instructions has significant leverage on program execution performance. These problem instructions contribute a…”
Get full text
Conference Proceeding -
13
Tutorial: Introduction to the AMD Versal ACAP Adaptable Intelligent Engine and to its Programming Model
ISSN: 2832-6474Published: ACM 17.09.2023Published in International Conference on Hardware/Software Codesign and System Synthesis (Online) (17.09.2023)“…This tutorial introduces the Adaptable Intelligent Engine (AIE), a new type of compute element in the latest AMD technology. The AI Engines are a tiled array…”
Get full text
Conference Proceeding -
14
A new SBST algorithm for testing the register file of VLIW processors
ISBN: 3981080181, 9783981080186Published: San Jose, CA, USA EDA Consortium 12.03.2012Published in Proceedings of the Conference on Design, Automation and Test in Europe (12.03.2012)“…Feature size reduction drastically influences permanent faults occurrence in nanometer technology devices. Among the various test techniques, Software-Based…”
Get full text
Conference Proceeding -
15
A cycle-approximate, mixed-ISA simulator for the KAHRISMA architecture
ISBN: 3981080181, 9783981080186Published: San Jose, CA, USA EDA Consortium 12.03.2012Published in Proceedings of the Conference on Design, Automation and Test in Europe (12.03.2012)“…Processor architectures that are capable to reconfigure their instruction set and instruction format dynamically at run time offer a new flexibility exploiting…”
Get full text
Conference Proceeding -
16
An Architecture Framework for Transparent Instruction Set Customization in Embedded Processors
ISBN: 076952270X, 9780769522708ISSN: 1063-6897Published: Washington, DC, USA IEEE Computer Society 01.05.2005Published in 32nd International Symposium on Computer Architecture (ISCA'05) (01.05.2005)“…Instruction set customization is an effective way to improve processor performance. Critical portions of applicationdata-flow graphs are collapsed for…”
Get full text
Conference Proceeding -
17
The TM3270 Media-Processor
ISBN: 9780769524405, 0769524400ISSN: 1072-4451Published: Washington, DC, USA IEEE Computer Society 12.11.2005Published in 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05) (12.11.2005)“…We present the TM3270 media-processor, the latest TriMedia VLIW processor, tuned to address the performance demands of standard definition video processing,…”
Get full text
Conference Proceeding -
18
Development of Fedora Linux Distribution for RISC-V (RV64G) Architecture
Published: IEEE 17.11.2024Published in SC24-W: Workshops of the International Conference for High Performance Computing, Networking, Storage and Analysis (17.11.2024)“…The rapid evolution of the RISC-V architecture presents both opportunities and challenges, particularly for systems lacking support for compressed instructions…”
Get full text
Conference Proceeding -
19
An Evaluation Framework and Instruction Set Architecture for Ion-Trap Based Quantum Micro-Architectures
ISBN: 076952270X, 9780769522708ISSN: 1063-6897Published: Washington, DC, USA IEEE Computer Society 01.05.2005Published in 32nd International Symposium on Computer Architecture (ISCA'05) (01.05.2005)“…The theoretical study of quantum computation has yielded efficient algorithms for some traditionally hard problems. Correspondingly, experimental work on the…”
Get full text
Conference Proceeding -
20
Compiler-Directed Instruction Duplication for Soft Error Detection
ISBN: 9780769522883, 0769522882ISSN: 1530-1591Published: Washington, DC, USA IEEE Computer Society 07.03.2005Published in Design, Automation and Test in Europe (07.03.2005)“…In this work, we experiment with complier-directed instruction duplication to detect soft errors in VLIW datapaths. Inthe proposed approach, the compiler…”
Get full text
Conference Proceeding

