Search Results - "Applied computing Physical sciences and engineering Electronics"
-
1
Late Breaking Results: Versatile 4:1 Multiplexer Using 1T1R RRAM Crossbar for High Speed In-Memory Computing
Published: IEEE 22.06.2025Published in 2025 62nd ACM/IEEE Design Automation Conference (DAC) (22.06.2025)“…This paper presents a high speed NAND based 4:1 Multiplexer (MUX) for various logic operations in a ResistiveRandom access memory crossbar structure. Compared…”
Get full text
Conference Proceeding -
2
Invited: EDA for Heterogeneous Integration
Published: IEEE 22.06.2025Published in 2025 62nd ACM/IEEE Design Automation Conference (DAC) (22.06.2025)“…The advent of heterogeneous integration (HI) places new demands on EDA tooling. Building large systems requires (1) methods for chiplet disaggregation that map…”
Get full text
Conference Proceeding -
3
Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuits
ISSN: 1558-2434Published: ACM 01.11.2016Published in Digest of technical papers - IEEE/ACM International Conference on Computer-Aided Design (01.11.2016)“…Numerous research efforts are targeting new devices that could continue performance scaling trends associated with Moore's Law and/or accomplish computational…”
Get full text
Conference Proceeding -
4
Energy characterization and instruction-level energy model of Intel's Xeon Phi processor
ISBN: 1479912352, 9781479912353Published: Piscataway, NJ, USA IEEE Press 04.09.2013Published in Proceedings of the 2013 International Symposium on Low Power Electronics and Design (04.09.2013)“…Intel's Xeon Phi is the first commercial many-core/multi-thread x86--based processor. Xeon Phi belongs to a new breed of high performance computing processors…”
Get full text
Conference Proceeding -
5
Bayesian Inference Based Robust Computing on Memristor Crossbar
Published: IEEE 05.12.2021Published in 2021 58th ACM/IEEE Design Automation Conference (DAC) (05.12.2021)“…Memristor based crossbars are a promising platform for neural network acceleration. To deploy a trained network model on a memristor crossbar, memristors need…”
Get full text
Conference Proceeding -
6
Low-Cost 7T-SRAM Compute-In-Memory Design based on Bit-Line Charge-Sharing based Analog-To-Digital Conversion
ISSN: 1558-2434Published: ACM 30.10.2022Published in 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD) (30.10.2022)“…Although compute-in-memory (CIM) is considered as one of the promising solutions to overcome memory wall problem, the variations in analog voltage computation…”
Get full text
Conference Proceeding -
7
Steep switching tunnel FET: a promise to extend the energy efficient roadmap for post-CMOS digital and analog/RF applications
ISBN: 1479912352, 9781479912353Published: Piscataway, NJ, USA IEEE Press 04.09.2013Published in Proceedings of the 2013 International Symposium on Low Power Electronics and Design (04.09.2013)“…Steep switching Tunnel FETs (TFET) can extend the supply voltage scaling with improved energy efficiency for both digital and analog/RF application. In this…”
Get full text
Conference Proceeding -
8
A Charge-Sharing based 8T SRAM In-Memory Computing for Edge DNN Acceleration
Published: IEEE 05.12.2021Published in 2021 58th ACM/IEEE Design Automation Conference (DAC) (05.12.2021)“…This paper presents a charge-sharing based customized 8T SRAM in-memory computing (IMC) architecture. In the proposed IMC approach, the multiply-accumulate…”
Get full text
Conference Proceeding -
9
Understanding the Energy Consumption of Dynamic Random Access Memories
ISBN: 1424490715, 9781424490714ISSN: 1072-4451Published: IEEE 01.12.2010Published in 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture (01.12.2010)“…Energy consumption has become a major constraint on the capabilities of computer systems. In large systems the energy consumed by Dynamic Random Access…”
Get full text
Conference Proceeding -
10
Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs
Published: IEEE 09.07.2023Published in 2023 60th ACM/IEEE Design Automation Conference (DAC) (09.07.2023)“…Ternary content addressable memory (TCAM), widely used in network routers and high-associativity caches, is gaining popularity in machine learning and…”
Get full text
Conference Proceeding -
11
Memristor-based approximated computation
ISBN: 1479912352, 9781479912353Published: Piscataway, NJ, USA IEEE Press 04.09.2013Published in Proceedings of the 2013 International Symposium on Low Power Electronics and Design (04.09.2013)“…The cessation of Moore's Law has limited further improvements in power efficiency. In recent years, the physical realization of the memristor has demonstrated…”
Get full text
Conference Proceeding -
12
Invited: Buried Power Rails and Back-side Power Grids: Prospects and Challenges
Published: IEEE 09.07.2023Published in 2023 60th ACM/IEEE Design Automation Conference (DAC) (09.07.2023)“…Buried power rails and back-side power grids are promising technology-scaling boosters for advanced CMOS technology nodes. System-level evaluation of these…”
Get full text
Conference Proceeding -
13
Designing Energy-Efficient Decision Tree Memristor Crossbar Circuits using Binary Classification Graphs
ISSN: 1558-2434Published: ACM 29.10.2022Published in 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD) (29.10.2022)“…We propose a method to design in-memory, energy-efficient, and compact memristor crossbar circuits for implementing decision trees using flow-based computing…”
Get full text
Conference Proceeding -
14
Batteries and battery management systems for electric vehicles
ISBN: 3981080181, 9783981080186Published: San Jose, CA, USA EDA Consortium 12.03.2012Published in Proceedings of the Conference on Design, Automation and Test in Europe (12.03.2012)“…The battery is a fundamental component of electric vehicles, which represent a step forward towards sustainable mobility. Lithium chemistry is now acknowledged…”
Get full text
Conference Proceeding -
15
Mask assignment and synthesis of DSA-MP hybrid lithography for sub-7nm contacts/vias
ISSN: 0738-100XPublished: IEEE 01.06.2015Published in Proceedings - ACM IEEE Design Automation Conference (01.06.2015)“…Integrating Directed Self Assembly (DSA) and Multiple Patterning (MP) is an attractive option for printing contact and via layers for sub-7nm process nodes. In…”
Get full text
Conference Proceeding -
16
System-level Innovation for the Next Decade AI Performance, Power, Area with Co-optimization
Published: IEEE 09.07.2023Published in 2023 60th ACM/IEEE Design Automation Conference (DAC) (09.07.2023)“…Power, performance, and area (PPA) are three key parameters in conventional system-on-chip design optimization. In post Moore's law era, system-level PPA…”
Get full text
Conference Proceeding -
17
MBus: An ultra-low power interconnect bus for next generation nanopower systems
ISSN: 1063-6897Published: United States IEEE 01.06.2015Published in Proceedings - International Symposium on Computer Architecture (01.06.2015)“…As we show in this paper, I/O has become the limiting factor in scaling down size and power toward the goal of invisible computing. Achieving this goal will…”
Get full text
Conference Proceeding Journal Article -
18
Heterogeneous Monolithic 3D ICs: EDA Solutions, and Power, Performance, Cost Tradeoffs
Published: IEEE 05.12.2021Published in 2021 58th ACM/IEEE Design Automation Conference (DAC) (05.12.2021)“…In this paper, we present a novel heterogeneous design of Monolithic 3D ICs along with crucial design flow enhancements and better partitioning methods. The…”
Get full text
Conference Proceeding -
19
An Automated and Process-Portable Generator for Phase-Locked Loop
Published: IEEE 05.12.2021Published in 2021 58th ACM/IEEE Design Automation Conference (DAC) (05.12.2021)“…We present a bang-bang phase-locked loop (PLL) generator that encapsulates design methodologies for its circuit blocks and the complete PLL system. The…”
Get full text
Conference Proceeding -
20
Leveraging on-chip voltage regulators as a countermeasure against side-channel attacks
ISSN: 0738-100XPublished: IEEE 01.06.2015Published in Proceedings - ACM IEEE Design Automation Conference (01.06.2015)“…Side-channel attacks have become a significant threat to the integrated circuit security. Circuit level techniques are proposed in this paper as a…”
Get full text
Conference Proceeding

