Suchergebnisse - Computer system organization Architectures Other architectural Reconfigurable computing
Andere Suchmöglichkeiten:
- Computer system organization Architectures Other architectural Reconfigurable computing »
-
1
NAAS: Neural Accelerator Architecture Search
Veröffentlicht: IEEE 05.12.2021Veröffentlicht in 2021 58th ACM/IEEE Design Automation Conference (DAC) (05.12.2021)“… Data-driven, automatic design space exploration of neural accelerator architecture is desirable for specialization and productivity …”
Volltext
Tagungsbericht -
2
Programming dynamic reconfigurable systems
ISSN: 1433-2779, 1433-2787Veröffentlicht: Berlin/Heidelberg Springer Berlin Heidelberg 01.10.2021Veröffentlicht in International journal on software tools for technology transfer (01.10.2021)“… It relies on architectural motifs to structure the architecture of a system and to coordinate its reconfiguration at runtime …”
Volltext
Journal Article -
3
RASA: Efficient Register-Aware Systolic Array Matrix Engine for CPU
Veröffentlicht: IEEE 05.12.2021Veröffentlicht in 2021 58th ACM/IEEE Design Automation Conference (DAC) (05.12.2021)“… Systolic arrays have been the premier architectural choice as matrix engines in offload accelerators …”
Volltext
Tagungsbericht -
4
InfScaler: Enabling Efficient ML Inference Serving on Multi-Accelerator Edge Devices via Asymmetric Auto-Scaling
Veröffentlicht: IEEE 22.06.2025Veröffentlicht in 2025 62nd ACM/IEEE Design Automation Conference (DAC) (22.06.2025)“… However, we observe that existing ML inference serving frameworks are poor in utilizing the unique hardware architecture of these edge devices …”
Volltext
Tagungsbericht -
5
Understanding and optimizing asynchronous low-precision stochastic gradient descent
Veröffentlicht: ACM 01.06.2017Veröffentlicht in 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA) (01.06.2017)“… Stochastic gradient descent (SGD) is one of the most popular numerical algorithms used in machine learning and other domains. Since this is likely to continue …”
Volltext
Tagungsbericht -
6
AIO: An Abstraction for Performance Analysis Across Diverse Accelerator Architectures
Veröffentlicht: IEEE 29.06.2024Veröffentlicht in 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA) (29.06.2024)“… the productivity of software developers, system software designers, and computer architects - to ensure that they focus time-consuming implementation and optimization efforts on the most favorable class of accelerators for the problem at hand …”
Volltext
Tagungsbericht -
7
LLMCompass: Enabling Efficient Hardware Design for Large Language Model Inference
Veröffentlicht: IEEE 29.06.2024Veröffentlicht in 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA) (29.06.2024)“… The past year has witnessed the increasing popularity of Large Language Models (LLMs). Their unprecedented scale and associated high hardware cost have impeded …”
Volltext
Tagungsbericht -
8
Late Breaking Results: FPGen-3D: Automated Framework for 3D-FPGA Architecture Generation and Exploration
Veröffentlicht: IEEE 22.06.2025Veröffentlicht in 2025 62nd ACM/IEEE Design Automation Conference (DAC) (22.06.2025)“… ) architecture generation and exploration. FPGen-3D generates custom 3D FPGA fabrics based on user-defined architectural parameters, producing synthesizable register-transfer level (RTL …”
Volltext
Tagungsbericht -
9
Multithreading on reconfigurable hardware: An architectural approach
ISSN: 0141-9331, 1872-9436Veröffentlicht: Elsevier B.V 01.11.2012Veröffentlicht in Microprocessors and microsystems (01.11.2012)“… Our proposal to improve overall system performance is twofold. First, we provide architectural mechanisms to accelerate applications by supporting computationally intensive kernels with reconfigurable hardware accelerators …”
Volltext
Journal Article -
10
Architectural performance analysis of FPGA synthesized LEON processors
ISSN: 2150-5519Veröffentlicht: ACM 01.10.2016Veröffentlicht in 2016 International Symposium on Rapid System Prototyping (RSP) (01.10.2016)“… Benchmarking exposes key parameters to execution time variability allowing for accurate probabilistic modeling of system dynamics …”
Volltext
Tagungsbericht -
11
Understanding and Optimizing Asynchronous Low-Precision Stochastic Gradient Descent
ISSN: 1063-6897Veröffentlicht: United States 01.06.2017Veröffentlicht in Proceedings - International Symposium on Computer Architecture (01.06.2017)“… Stochastic gradient descent (SGD) is one of the most popular numerical algorithms used in machine learning and other domains. Since this is likely to continue …”
Volltext
Journal Article -
12
Reconfigurable-computing technology
ISSN: 1060-0396, 1573-8337Veröffentlicht: New York Springer Nature B.V 01.09.2007Veröffentlicht in Cybernetics and systems analysis (01.09.2007)“… Evolution of computers with flexible architecture is considered. Distinctive features of the architectural and structural organization of reconfigurable computer systems (RCSs) are described …”
Volltext
Journal Article -
13
SyFAxO-GeN: Synthesizing FPGA-Based Approximate Operators with Generative Networks
ISBN: 9781450397834, 1450397832ISSN: 2153-697XVeröffentlicht: New York, NY, USA ACM 16.01.2023Veröffentlicht in 2023 28th Asia and South Pacific Design Automation Conference (ASP-DAC) (16.01.2023)“… Given the diversity of application areas at the edge, FPGA-based systems are increasingly used for high-performance inference …”
Volltext
Tagungsbericht -
14
FATE: Fast and Accurate Timing Error Prediction Framework for Low Power DNN Accelerator Design
ISSN: 1558-2434Veröffentlicht: ACM 01.11.2018Veröffentlicht in 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (01.11.2018)“… Architectural exploration for timing speculation requires detailed gate-level timing simulations that can be time-consuming for large DNNs which execute millions of multiply-and-accumulate (MAC) operations …”
Volltext
Tagungsbericht -
15
AESHA: Accelerating Eigen-Decomposition-Based Sparse Transformer with Hybrid RRAM-SRAM Architecture
ISSN: 1558-2434Veröffentlicht: ACM 27.10.2024Veröffentlicht in Digest of technical papers - IEEE/ACM International Conference on Computer-Aided Design (27.10.2024)“… Compute-in-memory (CIM) architectures based on emerging nonvolatile memories (eNVM) are recognized as promising candidates for the efficient computation of self …”
Volltext
Tagungsbericht -
16
A runtime support mechanism for fast mode switching of a self-morphing core for power efficiency
Veröffentlicht: ACM 01.08.2014Veröffentlicht in PACT '14 : proceedings of the 23rd International Conference on Parallel Architectures and Compilation Techniques : August 24-27, 2014, Edmonton, AB, Canada (01.08.2014)“… Asymmetric multicore processors (AMPs) consist of cores executing the same ISA, but differing in microarchitectural resources, performance, and power …”
Volltext
Tagungsbericht -
17
Reconfigurable caches and their application to media processing
ISBN: 1581132328, 9781581132328ISSN: 1063-6897Veröffentlicht: New York, NY, USA ACM 01.01.2000Veröffentlicht in Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201) (01.01.2000)“… It is therefore important to ensure that architectural features that use a significant fraction of the on-chip transistors are applicable across these different domains …”
Volltext
Tagungsbericht -
18
Using a configurable processor generator for computer architecture prototyping
ISBN: 9781605587981, 1605587982ISSN: 1072-4451Veröffentlicht: New York, NY, USA ACM 12.12.2009Veröffentlicht in 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) (12.12.2009)“… Building hardware prototypes for computer architecture research is challenging. Unfortunately, development of the required software tools …”
Volltext
Tagungsbericht -
19
Modeling of a Reconfigurable OFDM IP Block Family For an RF System Simulator
ISBN: 9780769522883, 0769522882ISSN: 1530-1591Veröffentlicht: Washington, DC, USA IEEE Computer Society 07.03.2005Veröffentlicht in Design, Automation and Test in Europe (07.03.2005)“… The idea of design domain specific Mother Model of IP block family as a base of modeling of system integration is presented here …”
Volltext
Tagungsbericht -
20
Performance of reconfigurable architectures for image-processing applications
ISSN: 1383-7621, 1873-6165Veröffentlicht: Amsterdam Elsevier B.V 01.09.2003Veröffentlicht in Journal of systems architecture (01.09.2003)“… The goal of this work is to explore the architectural behaviour of remote reconfigurable systems that are part of general-purpose computers …”
Volltext
Journal Article

